FPGA实验报告
“FPGA实验报告”相关的资料有哪些?“FPGA实验报告”相关的范文有哪些?怎么写?下面是小编为您精心整理的“FPGA实验报告”相关范文大全或资料大全,欢迎大家分享。
FPGA实验报告
FPGA实验报告
专 业:电子信息科学与技术
注:以下所有设计均选用DE2-115开发板
FPGA 器件选用Cyclone IV E:EP4CE115F29C7 所有设计均在开发板上已通过硬件验证
8位全加器
1. 源代码 library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity adder8 is
port (a,b: in std_logic_vector(7 downto 0);
cin: in std_logic;
dout: out std_logic_vector(7 downto 0);
cout: out std_logic);
end entity adder8;
architecture behav of adder8 is
signal data: std_logic_vector(8 downto 0); begin
data<=('0'&a)+('0'&b)+(\cout<=data(8);
dout<=data(7 downto 0); end behav;
2. 仿真结果
3. 引脚分配
通过DE2-115上9个LEDG,17个拨动开关对应八位全加器中所有输入输出。其中LED
FPGA实验报告
FPGA实验报告
专 业:电子信息科学与技术
注:以下所有设计均选用DE2-115开发板
FPGA 器件选用Cyclone IV E:EP4CE115F29C7 所有设计均在开发板上已通过硬件验证
8位全加器
1. 源代码 library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity adder8 is
port (a,b: in std_logic_vector(7 downto 0);
cin: in std_logic;
dout: out std_logic_vector(7 downto 0);
cout: out std_logic);
end entity adder8;
architecture behav of adder8 is
signal data: std_logic_vector(8 downto 0); begin
data<=('0'&a)+('0'&b)+(\cout<=data(8);
dout<=data(7 downto 0); end behav;
2. 仿真结果
3. 引脚分配
通过DE2-115上9个LEDG,17个拨动开关对应八位全加器中所有输入输出。其中LED
FPGA-实验报告
专业:电子信息工程名称:班级:姓名:许义恒学号:教师:时间:北京联合大学信息学院
FPGA设计实验报告
ADC0809采样状态机设计 0808030301A
赵磊 2008080302202 2008080302236 章学静
2011 年 1 月13日
(1)实验目的:学习用状态机实现对A/D转换器ADC0809的采样控制电路。
(2)实验原理:ADC0809采用CMOS工艺的8选1多路开关逐渐型数模转换器。 (3)实验内容:用QUARTUSII对参考源代码进行文本编辑输入和仿真测试,给出仿真波形,最后进行引脚锁定并进行测试,硬件验证实例对ADC0809的控制功能。 【ADC0809采样控制主程序】
libraryieee;
use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entityadcint is
port(d:instd_logic_vector(7 downto 0); reset,clk:instd_logic
FPGA设计的秒表设计实验报告
《FPGA原理及应用》
实验报告书
(7)
题 目
学 院 姓 名 指导教师
秒表设计
专 业 学 号
2015年 10-12月
一、实验目的
掌握小型电路系统的 FPGA 设计法。 二、实验内容
用文本法结合原理图的方法设计一个秒表,并在实验箱上进行验证。 秒表基本功能要求如下:
(1)要求设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任 何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过 程中也要无条件地进行清零操作。
(2)要求设置启/停开关。当按下启/停开关后,将启动秒表并开始计时,当再 按一下启/停开关时,将终止秒表的计时操作。
(3)要求计时精确度大于 0.01 秒。要求设计的计时器能够显示分(2 位)、秒(2 位)、0.1 秒(1 位)的时间。
(4)要求秒表的最长计时时间为 1 小时。 要求外部时钟频率尽量高,分频后再给秒表电路使用。 三、实验条件
1、开发软件:QuartusⅡ
2、实验设备:KX_DN8EDS实验开发系统 3、拟用芯片:EP3C55F484C8 四、实验设计 1、六进制计数器
仿真波形
2、十进制计数器
3、分频计
4、七段数码管译码器
5、100进
西南科技大学FPGA实验报告四2015
硬件电子琴的制作
西南科技大学 实验报告
课程名称: FPGA
实验名称: 硬件电子琴电路设计
姓 名: 学 号: 2012 班 级: 电子12 指导教师:
西南科技大学信息工程学院制
硬件电子琴的制作
实验题目
一、 实验原理
主系统由3个模块组成,例1是顶层设计文件,其内部有三个功能模块(如图1所示):Speakera.v(例4) 和ToneTaba.v (例3),NoteTabs.v (例2)。
模块ToneTaba是音阶发生器,当8位发声控制输入Index中某一位为高电平时,则对
应某一音阶的数值将从端口Tone输出,作为获得该音阶的分频预置值;同时由Code输出对应该音阶简谱的显示数码,如‘5’,并由High输出指示音阶高8度显示。
模块Speakera中的主要电路是一个数控分频器,它由一个初值可预置的加法计数器构
成,当模块Speakera由端口Tone获得一个2进制数后,将以此值为计数器的预置数,对端口Clk12MHZ输入的频率进行分频,之后由Spk
西南科技大学FPGA实验报告四2015
硬件电子琴的制作
西南科技大学 实验报告
课程名称: FPGA
实验名称: 硬件电子琴电路设计
姓 名: 学 号: 2012 班 级: 电子12 指导教师:
西南科技大学信息工程学院制
硬件电子琴的制作
实验题目
一、 实验原理
主系统由3个模块组成,例1是顶层设计文件,其内部有三个功能模块(如图1所示):Speakera.v(例4) 和ToneTaba.v (例3),NoteTabs.v (例2)。
模块ToneTaba是音阶发生器,当8位发声控制输入Index中某一位为高电平时,则对
应某一音阶的数值将从端口Tone输出,作为获得该音阶的分频预置值;同时由Code输出对应该音阶简谱的显示数码,如‘5’,并由High输出指示音阶高8度显示。
模块Speakera中的主要电路是一个数控分频器,它由一个初值可预置的加法计数器构
成,当模块Speakera由端口Tone获得一个2进制数后,将以此值为计数器的预置数,对端口Clk12MHZ输入的频率进行分频,之后由Spk
可编程逻辑器件、FPGA、CPLD实验报告3
CPLD/FPGA设计实验报告
实验名称: 时序电路设计基础 实验目的: 掌握Quartus II 软件的基本使用方法,完成基本时序电路设计 学生姓名: 学号: 实验内容:
实验一 D触发器
一、 创建工程
工程名称:D 顶层实体文件名:D
器件: EP1C3T100C8
装 二、 创建文件
创建Verilog HDL文件,实现一个D触发器的功能电路,要求可以实现异步清零和置位功能。
module D(q,qn,clk,d,set,clr_n); input d,clk,clr_n,set; output q,qn; wire [7:0] d; reg [7:0] q; wire [7:0]qn; assign qn=~q;
always @(posedge clk,negedge clr_n)
begin
if(~clr_n) q<=8'b0000_0000; end
else if(set) q<=8'b1111_1111; else q<=d;
订
可编程逻辑器件、FPGA、CPLD实验报告5
CPLD/FPGA设计实验报告
实验名称: 时序电路仿真基础 实验目的: 掌握modelsim软件的基本使用方法,完成基本时序电路仿真 学生姓名: 学号: 实验内容:
实验一 8位全加器
一、 创建工程
装 工程名称:add8
二、 创建文件
顶层实体文件名:add8
订创建Verilog HDL文件,实现一个8位全加器的测试功能。
`timescale 1ns/1ns module t_add8; reg cin;
reg [7:0] a,b; wire [7:0] sum; wire cout;
线 parameter delay=100; add8 u(a,b,cin,cout,sum); initial begin
a=8'b00000000;b=8'b00000000;cin=1'b1;
#(delay/2) a=8'b00000001;b=8'b00000001;cin=1
实验报告(TCP协议分析实验报告)
实验四 传输层协议分析
一、实验目的
1、学习3CDaemon FTP服务器的配置和使用,分析TCP报文格式,理解TCP的连接建立、 和连接释放的过程。
2、学习3CDaemon TFTP服务器的配置和使用,分析UDP报文格式,理解TCP协议与UDP协议的区别。
二、实验工具软件3CDaemon软件简介
3CDaemon是3Com公司推出的功能强大的集FTP Server、TFTP Server、Syslog Server 和TFTP Client于一体的集成工具,界面简单,使用方便。
这里主要介绍实验中需要用到的FTP Server功能和TFTP Server功能。 1、FTP Server功能
(1)配置FTP Server功能:选中左窗格功能窗口,打开FTP Server按钮,单击窗格中的 Configure FTP Server按钮,打开3CDaemon Configuration配置窗口,配置FTP Server功能。
这里需要设置的就是“Upload/Download”路径,作为FTP Server的文件夹,其它选项可以使用系统缺省设置。设置完成后,单击确认按钮,设置生效。
(2)在实验中,我们使用3CDaemon系统内置的
实验报告
学号:08083500 班级:计08-6班 姓名:张溧溧 专业:计算机
实验一
实验目的:通过实验了解SQL语句的使用。
实验内容:使用SQL语句建立学生管理系统相关的表,同时完善各表的相关完整性约束。 学院(学院代码,学院名称)
学生(学号,姓名,性别,学院代码) 教师(教师号,教师姓名,学院代码) 课程(课程号,课程名,学时) 学习(学号,课程号,成绩) 开课(教师号,课程号) 实验代码:CREATE TABLE 教师
( 教师号 CHAR(8) PRIMARY KEY,
教师姓名 CHAR(8), 学院代码 CHAR(8));
CREATE TABLE 开课
( 教师号 CHAR(8),
课程号 CHAR(8),
PRIMARY KEY(教师号,课程号),
FOREIGN KEY(教师号) REFERENCES 教师(教师号), FOREIGN