I2C总线的工作原理

“I2C总线的工作原理”相关的资料有哪些?“I2C总线的工作原理”相关的范文有哪些?怎么写?下面是小编为您精心整理的“I2C总线的工作原理”相关范文大全或资料大全,欢迎大家分享。

I2C总线原理

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

I2C总线原理

I2C即Inter IC,由Philips公司开发,是当今电子设计中应用非常广泛的串行总线之一,主要用于电压、温度监控,EEPROM数据的读写,光模块的管理等。

I2C总线只有两根线,SCL和SDA,SCL即Serial Clock,串行参考时钟,

SDA即Serial Data,串行数据。

?I2C总线的速率能达到多少? 标准模式下:100Kbps 快速模式下:400Kbps 高速模式下:3.4Mbps I2C总线结构如下图所示:

如上图所示,I2C是OC或OD输出结构,使用时必须在芯片外部进行上拉,上拉电阻R的取值根据I2C总线上所挂器件数量及I2C总线的速率有关,一般是标准模式下R选择10kohm,快速模式下R选取1kohm,I2C总线上挂的I2C器件越多,就要求I2C的驱动能力越强,R的取值就要越小,实际设计中,一般是先选取4.7kohm上拉电阻,然后在调试的时候根据实测的I2C波形再调整R的值。

?I2C总线上最多能挂多少个I2C器件?

I2C总线上允许挂接I2C器件的数量由两个条件决定:

1).I2C从设

I2C总线协议及工作原理

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

. /

I2C总线协议及工作原理

一、概述

1、I2C总线只有两根双向信号线。一根是数据线SDA,另一根是时钟线SCL。

SCL:上升沿将数据输入到每个EEPROM器件中;下降沿驱动EEPROM器件输出数据。(边沿触发)

SDA:双向数据线,为OD门,与其它任意数量的OD与OC门成"线与"关系。

I2C总线通过上拉电阻接正电源。当总线空闲时,两根线均为高电平(SDL=1;SCL=1)。连到总线上的任一器件输出的低电平,都将使总线的信号变低,即各器件的SDA及SCL都是线“与”关系。

2、主设备与从设备

系统中的所有外围器件都具有一个7位的"从器件专用地址码",其中高4位为器件类型,由生产厂家制定,低3位为器件引脚定义地址,由使用者定义。主控器件通过地址码建立多机通信的机制,因此I2C总线省去了外围器件的片选线,这样无论总线上挂接多少个器件,其系统仍然为简约的二线结构。终端挂载在总线上,有主端和从端之分,主端必须是带有CPU的逻辑模块,在同一总线上同一时刻使能有一个主端,可以有多个从端,从端的数量受地址空间和总线的最大电容400pF的限制。

主端主要用来驱动SCL line;

从设备对主设备产生响应;

二者都可以传输数据,但是从设备不能发起传输,且传输是受到主设备控

I2C总线的结构与工作原理

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

I2C总线的结构与工作原理

2.1概述

2.1.1 I2C总线在单片机应用系统设计中的意义

现代消费类产品、通讯类产品、仪器仪表、工业测控系统中,逐渐形成了以一个或 多个单片机组成的智能系统,这些系统硬件结构都有相似之处:

1.单片机电路已日趋简单化和标准化。通常是由单片机(MICROCONTROLLER)、程序存储器(EPROM)、数据存储器(SRAM)构成的三片体系,或采用有在片程序存储器的单片机与数据存储器构成的二片体系,以及单片机与通用外围接口器件(PSD)构成的最简单体系。

2. 都有一些外围通用电路,如EEPROM、I/O口、A/D、D/A、日历时钟等外围器件和键盘、LED/LCD显示器、打印机接口等外围设备模块等。

3.面对系统特殊应用的一些电路,如无线电、电视、音像系统中的数字协调、编码、解码、图象处理、频率合成、音调控制、立体声处理等。

在上述的一些电路中,除与单片机直接相关的程序存储器、并行扩展的数据存储器外,单片机对许多外围电路之间主要是实现控制功能,而且许多外设并不要求很高的数据传送速度。为了简化系统,提高系统的可靠性,缩短产品开发周期,增加硬件结构的灵活性,Philips公司推出了一种高效、可靠、方便的串行扩展总

I2C总线协议及工作原理

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

I2C总线协议及工作原理

一、概述

1、I2C总线只有两根双向信号线。一根是数据线SDA,另一根是时钟线SCL。

SCL:上升沿将数据输入到每个EEPROM器件中;下降沿驱动EEPROM器件输出数据。(边沿触发)

SDA:双向数据线,为OD门,与其它任意数量的OD与OC门成"线与"关系。

I2C总线通过上拉电阻接正电源。当总线空闲时,两根线均为高电平(SDL=1;SCL=1)。连到总线上的任一器件输出的低电平,都将使总线的信号变低,即各器件的SDA及SCL都是线“与”关系。

2、主设备与从设备

系统中的所有外围器件都具有一个7位的"从器件专用地址码",其中高4位为器件类型,由生产厂家制定,低3位为器件引脚定义地址,由使用者定义。主控器件通过地址码建立多机通信的机制,因此I2C总线省去了外围器件的片选线,这样无论总线上挂接多少个器件,其系统仍然为简约的二线结构。终端挂载在总线上,有主端和从端之分,主端必须是带有CPU的逻辑模块,在同一总线上同一时刻使能有一个主端,可以有多个从端,从端的数量受地址空间和总线的最大电容 400pF的限制。

主端主要用来驱动SCL line;

从设备对主设备产生响应;

二者都可以传输数据,但是从设备不能发起传输,且传输是受到主设备控制的

I2C总线的结构与工作原理

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

I2C总线的结构与工作原理

2.1概述

2.1.1 I2C总线在单片机应用系统设计中的意义

现代消费类产品、通讯类产品、仪器仪表、工业测控系统中,逐渐形成了以一个或 多个单片机组成的智能系统,这些系统硬件结构都有相似之处:

1.单片机电路已日趋简单化和标准化。通常是由单片机(MICROCONTROLLER)、程序存储器(EPROM)、数据存储器(SRAM)构成的三片体系,或采用有在片程序存储器的单片机与数据存储器构成的二片体系,以及单片机与通用外围接口器件(PSD)构成的最简单体系。

2. 都有一些外围通用电路,如EEPROM、I/O口、A/D、D/A、日历时钟等外围器件和键盘、LED/LCD显示器、打印机接口等外围设备模块等。

3.面对系统特殊应用的一些电路,如无线电、电视、音像系统中的数字协调、编码、解码、图象处理、频率合成、音调控制、立体声处理等。

在上述的一些电路中,除与单片机直接相关的程序存储器、并行扩展的数据存储器外,单片机对许多外围电路之间主要是实现控制功能,而且许多外设并不要求很高的数据传送速度。为了简化系统,提高系统的可靠性,缩短产品开发周期,增加硬件结构的灵活性,Philips公司推出了一种高效、可靠、方便的串行扩展总

I2C总线接口

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

I2C总线接口

S3C44B0X I2C总线概述

I2C是一种双向两线制的串行数据传输标准总线。S3C44B0X RISC微处理器支持多主I2C串行接口。专用串行数据线SDA和串行时钟线SCL在总线控制器和外围设备之间传送信息,它们都连在I2C总线上。SDA和SCL线都是双向的。

在多主I2C模式下,多个S3C44B0X RISC微处理器可以从设备接收数据或传送数据到设备。启动数据传送给I2C总线的主设备也负责终止数据的传送。S3C44B0X中的I2C总线使用了标准的优先级仲裁过程。

为了控制多主I2C操作,必须为以下寄存器赋值:多主I2C控制寄存器(IICCON)、多22主IC控制/状态寄存器(IICSTAT)、多主IC Tx/Rx数据移位寄存器(IICDS)和多主I2C地址寄存器(IICADD)。

当I2C空闲时,SDA和SCL线都处于高电平。SDA由高电平到低电平的转变能够产生启动条件;当SCL在高电平保持稳定时,SDA由低电平到高电平的转变能够产生停止条件。

启动和停止条件一般由主设备产生。启动条件产生后,被放到总线上的第一个数据字节的7位地址值能够决定总线主设备所选择的从设备,第8位决定了传送的方向(读或写)。

放到SDA线上的每一

I2C总线程序1

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

I2C总线协议程序

在做程序是我在网上找的是周立功的程序,感觉还不错顺便转载;在使用的过程中一定要注意时序、时间的问题。

i2c.c /* I2C.c

标准80C51单片机模拟I2C总线的主机程序 Copyright (c) 2005,广州周立功单片机发展有限公司

All rights reserved.

本程序仅供学习参考,不提供任何可靠性方面的担保;请勿用于商业目的

*/

#include \

//定义延时变量,用于宏I2C_Delay() unsigned char data I2C_Delay_t;

/*

宏定义:I2C_Delay() 功能:延时,模拟I2C总线专用

*/

#define I2C_Delay()\\

{\\

I2C_Delay_t = (I2C_DELAY_VALUE);\\

while ( --I2C_Delay_t != 0 );\\

} /*

函数:I2C_Init()

功能:I2C总线初始化,使总线处于空闲状态

说明:在main()函数的开始处,通常应当要执行一次本函数

*/ void I2C_Init()

{ I2C_SCL = 1; I2C_Delay();

I2C_SDA = 1; I2C_Delay()

i2c串行总线的模拟与仿真

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

本科学生毕业论文

论文题目: 学 院: 年 级: 专 业: 姓 名: 学 号: 指导教师:

I2C串行总线的模拟与仿真

电子工程学院 2008级

集成电路设计与集成系统 刘欣 20083410 胡靖

2012年5月20日

摘要

本论文主要研究的是I2C串行总线的模拟与仿真。首先,在论文的开始介绍了数字电子设计的发展过程,表明了数字电子设计在现在的生活中占有十分重要的地位。其次,介绍了I2C串行总线协议相关概念等内容,为总体的设计理清思路做铺垫,防止由于概念混淆而引起的不必要的麻烦。然后,介绍了整体设计的一个设计流程,防止在设计时陷入死循环的误区,此流程为设计提供了一个向导作用。最后,通过Xilinx公司开发的Xilinx ISE 10.1软件进行程序的模拟过程,同时在Xilinx ISE 10.1软件中调用Modelsim SE 6.5软件,观察仿真结果图。

通过观察串行数据线(SDA)和串行时钟线(SCL)在仿真软件Modelsim SE 6.5中波形图的高低电平变化并读出数据,判断本论文的设计是否满足最初的设计初衷,是否符合设计要求。

关键词

I2C总线;现场可编程门阵列;硬件描述语言;Mo

SPI、I2C、UART串行总线协议

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

SPI、I2C、UART串行总线协议

串口通讯通信协议 ........................................................................................................................... 2

串口通信 ................................................................................................................................... 7

RS-232 ...................................................................................................................................... 8

SPI、I2C、UART串行总线协议

串口通讯通信协议

所谓通信协议是指通信双方的一种约定。约定包括对数据格式、同步方式、传送速度、传送步骤、检纠错方式以及控制字符定义等问题做出统一规定,通信双方

基于NIOSII的I2C总线接口技术

标签:文库时间:2024-07-08
【bwwdw.com - 博文网】

本科学生毕业论文

论文题目: 基于NiosII的IC总线接口设计

2

学 院: 年 级: 专 业: 姓 名:

指导教师:

电子工程学院

集成电路设计与集成系统

2012 年 05 月 20 日

摘要

当今电子信息产业中嵌入式无疑是最热名词,小到手机,PDA,机顶盒,DV,游戏机大到数控汽车电子,数控设备,医疗仪器,航天航空设备,嵌入式在各行各业各个领域都在起着重要的作用。当今主流的嵌入式处理器大概分三类MCU/ARM,DSP,可编程逻辑阵列。FPGA构成的SOPC系统具有富的IP Core资源可供选择、有足够的片上可编程逻辑资源、低功耗、微封装等优点,提高了应用上的灵活性。同时,在开发周期个价格上具有极大的优势。本文主要介绍如何使用Altera的Nios Ⅱ嵌入式软核处理器来实现I2C总线接口。

I2C是常用的串口总线通信协议,是由PHILIPS公司开发的两线式串行总线,其简便性和占用较少的引脚资源使得它在电子产品中广泛使用。文章中详细介绍了如何使用Altera公司的Cyclone Ⅱ系列的FPGA芯片,配置Nios Ⅱ软核处理器来实现I2C总线接口,通过读写串行EEPROM来验证I2C接口实现。