EDA电子电路实验报告
“EDA电子电路实验报告”相关的资料有哪些?“EDA电子电路实验报告”相关的范文有哪些?怎么写?下面是小编为您精心整理的“EDA电子电路实验报告”相关范文大全或资料大全,欢迎大家分享。
电子电路CAD实验报告
··
温州大学瓯江学院
WENZHOU UNIVERSITY OUJIANG COLLEGE
实 验 报 告
专 业 学生姓名 课 程 指导教师
机械 罗文建
班 级 学 号
10机械本三 10207013307
温州大学瓯江学院教务部制
··
实验一 PROTEL DXP基础
一、 实验目的
1、 熟悉原理图编辑器的基本界面和基本操作工具。 2、 学会文件管理、环境参数设置。
二、 实验内容
1. 启动Protel DXP,新建工程文件,并在指定目录下保存为“姓名-实验1. PrjPCB”。 2. 建立名为FIRSCH的原理图文件,并进入原理图设计窗口。 3. 设置原理图的图纸尺寸为A0,去掉可视栅格。
4. 把光标设置成大十字,并把光标移动到图纸边沿时的移动速度设置Auto Pan Recenter。 5. 将基本元件库Miscellaneous Devices.ddb、德克萨斯仪器公司元件库TI Differential
Amplifier 增加到元件库管理器中。
6. 设置显示栅格为10个像素点,锁定栅格5像素点,使鼠标一次移动半个栅格。 7. 练习将Protel DXP中窗口、对话框中的文字改为规则8号的Times New Roman字体。
8.
通信电子电路 实验报告 - 图文
实验八 三点式LC振荡器及压控振荡器
一、实验目的
1、掌握三点式LC振荡器的基本原理; 2、掌握反馈系数对起振和波形的影响; 3、掌握压控振荡器的工作原理;
4、掌握三点式LC振荡器和压控振荡器的设计方法。
二、实验内容
1、测量振荡器的频率变化范围;
2、观察反馈系数对起振和输出波形的影响;
三、实验仪器
20MHz示波器一台、数字式万用表一块、调试工具一套
四、实验原理
1、三点式LC振荡器
三点式LC振荡器的实验原理图如图8-1所示。
+12VR5R7R9Q2Q1K5C6W2K6C4T2C7K7C8K8C40R6R8C9R10TP4R15R17TP5R12TT1C10C11R14Q3C12R16C5
图 8-1 三点式LC振荡器实验原理图
图中,T2为可调电感,Q1组成振荡器,Q2组成隔离器,Q3组成放大器。C6=100pF,C7=200pF,C8=330pF,C40=1nF。通过改变K6、K7、K8的拨动方向,可改变振荡器的反馈系数。设C7、C8、C40的组合电容为C∑,则振荡器的反馈系数F=C6/ C∑。 通常F约在0.01~0.5之间。
同时,为减小晶体管输入输出电容对回路振荡频率的影响,C6和C∑取值要大
《通信电子电路》实验报告格式
xx 大 学 实 验 报 告
班 级:
学 号:
姓 名:
实验所属课程:
实验室(中心):指 导 教 师 :
实验平均成绩: 通 信 电 子 电 路
实验项目名称 姓名 学号 实验日期 教师评阅: □实验目的明确; □操作步骤正确; □实验报告规范; □实验结果符合要求 □实验过程原始记录(数据、图表、计算等)符合要求;□实验分析总结全面;
签名: 年 月 日 实验成绩: 一、实验目的 二、实验主要内容及原理 三、实验器材 四、实验步骤 五、实验过程原始记录(数据、图表、计算等) 六、实验结果及分析(包括心得体会,本部分为重点)
《通信电子电路》实验报告格式
xx 大 学 实 验 报 告
班 级:
学 号:
姓 名:
实验所属课程:
实验室(中心):指 导 教 师 :
实验平均成绩: 通 信 电 子 电 路
实验项目名称 姓名 学号 实验日期 教师评阅: □实验目的明确; □操作步骤正确; □实验报告规范; □实验结果符合要求 □实验过程原始记录(数据、图表、计算等)符合要求;□实验分析总结全面;
签名: 年 月 日 实验成绩: 一、实验目的 二、实验主要内容及原理 三、实验器材 四、实验步骤 五、实验过程原始记录(数据、图表、计算等) 六、实验结果及分析(包括心得体会,本部分为重点)
通信电子电路实验报告 - 图文
通信电子电路 I
验报告
彭春华 张学丽
中南大学信息科学与工程学院
实前 言
通信电子电路实验系统是配合通信电子电路路(高频电子电路)课程的理论教学研制的一套实验系统。
通信电子电路实验系统由通信发射机和接收机两大部分组成。每部分都由单独的单元模块组合。既可根据课程内容、进度完成单元模块实验,又可进行调幅、调频两种收、发系统的实验。实验内容既有分立器件又有集成器件,便于学生循序渐进的学习。
发射机系统由低频调制源振荡器电路、变容二极管调频电路、振幅调制电路、高频功率放大器五个模块组成。可独立进行各部分功能模块实验,也可将各部分级连完成发射机整机调试和测试实验。
接收机系统由小信号调谐放大器、混频器、锁相频率合成器、本振源、中放、二次混频与鉴频,包络检波五个模块组成。可独立进行各部分功能模块实验,也可将各部分级联完成接收机功能实验。该实验装置还可进行通话实验,使学生了解实际的通信系统。
通过实验可使学生进一步消化理解理论课程内容,培养学生调测的实际动手能力,建立系统概念。
用本实验设备做实验时,必备的仪器是三路输出直流稳压电源(+5V、±12V,均为0.5A),20MHZ以上双踪示波器,万用表、频率计、毫伏表、高频信号发生器等。
由于
电子电路设计实验报告
电子线路专题实验Ⅱ
一、实验要求:
1. 认真阅读学习系统线路及相关资料
2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。
3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。
4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。
5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。
6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。
二、实验设计思路:
本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。
三、实验设计:
1.矩阵键盘扫描模块
4×4的矩阵
电子电路设计(ADS)实验报告 - 图文
电子电路设计实验(一)
实验报告
一、实验名称:低通滤波器的设计 二、低通滤波器的作用及组成:
低通滤波器就是让某一频率以下的信号分量通过,而对该频率以上的信号分量大大抑制的电容、电感与电阻等器件的组合装置。低通滤波器容许低频信号通过, 但减弱(或减少)频率高于截止频率的信号的通过。
三、仿真原理图:
四、仿真过程:
1、建立工程,编辑工程文件。选择电容、电感、电阻、接地和Simulation-S_Param 元器件,放置在合适的位置,用导线连接各元件(详见仿真电路图)。
2、设置S参数控件参数。双击S参数控件,打开参数设置窗口,将“Step-size”设置为0.5GHz,在【display】选项卡勾选需要显示的参量,单击OK,保存退出。
3、显示仿真数据。执行菜单命令【Simulate】/【Simulate】,开始仿真,显示相关的状态信息。选择矩形图图标以方块图显示数据,选择S(2,1)参数,显示低通滤波器的响应曲线。执行菜单命令【Marker】/【New】,将三角标志放置到仿真曲线上。
4、保存数据窗口。
5、调整滤波器电路。调整原理图显示方式,使其与当前窗口的大小相适应,单击调谐图标,选中L1和C2,在数据窗口调节L1和
电子EDA实验报告
《电子EDA技术》
实验报告
题 目:院 (系):专 业:组 长:授课教师:完成日期:实验四
电子EDA技术实验报告 信息科学与技术学院
电子信息工程
2011年09月24日
基于VHDL的触发器与锁存器描述
与设计
一、 实验目的:
1. 初步掌握VHDL语言的基本结构及设计的初步方法。 2. 掌握VHDL语言的时序电路的设计方法。 3. 掌握VHDL语言的基本描述语句的使用方法。
二、 实验原理:
1. 由LIBRARY引导的库的说明部分。 2. 使用了另一种数据类型STD_LOGIC。 3. 定义了一个内部节点信号SIGNAL。
4. 使用一种新的条件判断表达式:CLK′EVENT AND CLK=′1′
三、 实验内容:
1. 运用已学知识,设计边沿型D触发器,给出程序设计、软件编译、仿真分析及详细实验过程。
2. 设计D触发器(电平型触发时序元件),给出程序设计、软件编译、仿真分析及详细实验过程。
3. 分析比较上述两种触发器的仿真的实测结果,说明这两种电路的异同点。
四、 实验设计
VHDL程序:library ieee; use ieee.std_logic_1164.all; entity dff1 is
北航电子电路设计数字部分实验报告
电子电路设计数字部分
实验报告
学 院:姓 名:
实验一 简单组合逻辑设计
实验内容
描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。
实验仿真结果
实验代码
主程序
module compare(equal,a,b); input[7:0] a,b; output equal;
assign equal=(a>b)?1:0; endmodule
测试程序 module t;
reg[7:0] a,b; reg clock,k; wire equal; initial begin
a=0; b=0; clock=0; k=0; end
always #50 clock = ~clock; always @ (posedge clock) begin
a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2;
高频电子电路实验
高频电子线路
实验注意事项
1、 本实验系统接通电源前,请确保电源插座接地良好。
2、 每次安装实验模块之前,应确保主机箱右侧的交流开关处于断开状态。为保险起见,建议拔下电源
线后再安装实验模块。
3、 安装实验模块时,模块右边的电源开关要拨置上方,将模块四角的螺孔和母板上的铜支柱对齐,然
后用螺钉固定。确保四个螺钉拧紧,以免造成实验模块与电源或者地接触不良。经仔细检查后方可通电实验。
4、 各实验模块上的电源开关、拨码开关、复位开关、自锁开关、手调电位器和旋转编码器均为磨损件,
请不要频繁按动或旋转。
5、 请勿直接用手触摸芯片、电解电容等元件,以免造成损坏。
6、 各模块中的贴片可调电容是出厂前调试使用的。出厂后的各实验模块功能已调至最佳状态,无需另
行调节这些电位器,否则将会对实验结果造成严重影响。若已调动请尽快复原;若无法复原,请与指导老师或直接与我公司联系。
7、 在关闭各模块电源之后,方可进行连线。连线时在保证接触良好的前提下应尽量轻插轻放,检查无
误后方可通电实验。拆线时若遇到连线与孔连接过紧的情况,应用手捏住线端的金属外壳轻轻摇晃,直至连线与孔松脱,切勿旋转及用蛮力强行拔出。
8、 按动开关或转动电位器时,切勿用力过猛,以免造成元件损坏