ieee

“ieee”相关的资料有哪些?“ieee”相关的范文有哪些?怎么写?下面是小编为您精心整理的“ieee”相关范文大全或资料大全,欢迎大家分享。

IEEE trans期刊查询

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

IEEE trans期刊查询

一.IEEE Transactions on Information Forensics and Security

期刊名缩写:IEEE T INF FOREN SEC 出版方式:Quarterly(季刊) 影响因子:1.089(2007)、2.223(2008)、2.338(2009)、2.856(近五年)

中心相关方向论文情况:信任管理(Trust management :3篇, 2009年-2010年)、无线安全(Wireless Security : 20篇, 2006-2010年)、可证明安全(Provable Security: 无)、漏洞挖掘(Vulnerability: 无)、布尔函数(Boolean Function:无)、量子密码(Quantum Cryptography: 无 )、安全协议( Secure Protocol:5篇,2008年-2010年)、手机安全( Mobile Security:无)。

近两期论文录用周期情况:从论文的received 到accepted,一般是3到8个月时间。平均录用周期为6个月。

URL: http://ieeexplore.ieee.org/xpl

IEEE 802.3和以太网

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

IEEE 802.3 架构图解,包括10M,100M,1G,10G。

IEEE 802.3和以太网 - Goldz Column - 博客频道 - /dark_goldz/article/details/4691773

Generated by Foxit PDF Creator © Foxit Software For evaluation only.

IEEE 802.3 架构图解,包括10M,100M,1G,10G。

IEEE 802.3和以太网 - Goldz Column - 博客频道 - /dark_goldz/article/details/4691773

Generated by Foxit PDF Creator © Foxit Software For evaluation only.

IEEE Std 1588-2008 (2)

看房归来 (1)

IEEE 802.3ae、IEEE 80... (1)

1PPS+TOD (1)

路威酩轩(LVMH) (1)

古驰集团(Gucci Group) (0)

客厅里的名词解释 (0)

HDMI(High Definition... (0)PMD(Physical Medium

IEEE参考文献格式

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

?

Creating a reference list or bibliography

A numbered list of references must be provided at the end of the paper. The list should be arranged in the order of citation in the text of the assignment or essay, not in alphabetical order. List only one reference per reference number. Footnotes or other

information that are not part of the referencing format should not be included in the reference list.

The following examples demonstrate the format for a variety of types of references. Included are some examples of citing elec

IEEE1588学习笔记 - 图文

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

一、端对端透明时钟(E2E)和点对点透明时钟(P2P) 1.1 透明时钟概述

IEEE1588V2.0版本(2008)相对于V1.0版本(2002)一个较大区别是,2.0版本增加了透明时钟类型。

一般组网中,交换机作为透明时钟使用。

当主从机交换消息路径包含一个或多个交换机时,延时包括两部分,路径延时(Path Delay)和驻留时间(residence time)。一般来说,路径延时是报文在物理媒介上的延时,双向对称且延时稳定。驻留时间取决于数据流量和交换机的处理能力,可能动态变化。

透明时钟分E2E(end to end)和P2P(peer to peer)两种类型。

两种类型都需要交换机支持将报文的出口时间(egress)和入口时间(igress)差值添加到报文中去。此差值即为交换机的驻留时间。

P2P时钟还能够主动发送延时请求报文给与它相接的端口,测量路径延时。 1.2 两种对时模式原理 E2E模式对时原理:

图1.1 E2E透明时钟对时原理

如图1.1所示,主从时钟经过一个E2E交换机对时,所有报文经过交换机时,交换机会将驻留时间累加到报文的校正域(CF)中,但是路径延时并没有事先知道,要发送同步报文和延时请求报文计算路径延时。E2

IEEE 802.3和以太网

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

IEEE 802.3 架构图解,包括10M,100M,1G,10G。

IEEE 802.3和以太网 - Goldz Column - 博客频道 - /dark_goldz/article/details/4691773

Generated by Foxit PDF Creator © Foxit Software For evaluation only.

IEEE 802.3 架构图解,包括10M,100M,1G,10G。

IEEE 802.3和以太网 - Goldz Column - 博客频道 - /dark_goldz/article/details/4691773

Generated by Foxit PDF Creator © Foxit Software For evaluation only.

IEEE Std 1588-2008 (2)

看房归来 (1)

IEEE 802.3ae、IEEE 80... (1)

1PPS+TOD (1)

路威酩轩(LVMH) (1)

古驰集团(Gucci Group) (0)

客厅里的名词解释 (0)

HDMI(High Definition... (0)PMD(Physical Medium

ITU SyncE and IEEE1588

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

IEEE1588和同步以太

http://blog.ednchina.com/yangytao/38966/message.aspx

IEEE1588和同步以太都是用来解决包网络中的同步问题。IEEE1588独立于物理层,通过在报文中加入时间标签来传递同步信息,因此除了频率同步它还可以传递TOD(Time of the day),缺点是会受网络状态的影响,延时、丢包等都会影响到精度;同步以太通过以太物理层PHY实现同步,实现方式类似于传统的SDH/SONET网络,因此它不会受网络高层带来的影响,只要物理连接存在就可以实现同步,缺点是只能传送频率,没有TOD时间信息。

现实网络中计费、SLA等应用需要有确切的时间信息,而有些网络又需要运营级的同步,所以在未来的NGN中,用同步以太来实现频率同步,用1588来传递时间信息会是一个很好的解决方案。

G.8261与同步以太

ITU-T的G.8261建议定义了分组网络的同步特性。它规定了1)网络中所容许的最大jitter抖动和wander漂移;2)分组网络边界与TDM接口时需要达到的jitter和wander的最小值。它同时还概述了网络单元实现同步功能的最小要求。ITU-T通过G.826

Formal verification of a theory of ieee rounding

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

Abstract. We report on the formal verification of a theory of IEEE rounding in the theorem prover PVS. The theory consists of a formalization of the IEEE standard, and notations and theorems facilitating the verification of floating point hardware. In part

Formal Veri?cation of a Theory of IEEE Rounding

Christian Jacobi

Saarland University,Computer Science Department

66123Saarbr¨u cken,Germany

cj@cs.uni-sb.de

Tel+49-681-302-4129,Fax-4290

October12,2001

Abstract.We report on the formal veri?cation of a theory of IEEE rounding

in

Ixia IEEE 1588 test plan

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

IXIA 测试1588

Ixia IEEE 1588 Test Plan

随着IEEE 1588作为一种网络时钟同步技术被随着越来越多的网络设备支持,实现对该技术的测试变得越来越紧迫。本文介绍Ixia如何实现对IEEE 1588的测试。

Ixia solution current Features

– IEEE 1588 version 2.2 in IPV4 (multicast) support – Two-step clocks support

– Mixed (non-PTP) traffic along with PTP traffic. Ixia ports will have the

ability to throttle transmit based on flow control packets being received. – 1 clock per port support

– Ports can be configured in Master or Slave mode manually.

– Histogram reporting Slave clock OFFSET from master is provided in

the f

ITU SyncE and IEEE1588

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

IEEE1588和同步以太

http://blog.ednchina.com/yangytao/38966/message.aspx

IEEE1588和同步以太都是用来解决包网络中的同步问题。IEEE1588独立于物理层,通过在报文中加入时间标签来传递同步信息,因此除了频率同步它还可以传递TOD(Time of the day),缺点是会受网络状态的影响,延时、丢包等都会影响到精度;同步以太通过以太物理层PHY实现同步,实现方式类似于传统的SDH/SONET网络,因此它不会受网络高层带来的影响,只要物理连接存在就可以实现同步,缺点是只能传送频率,没有TOD时间信息。

现实网络中计费、SLA等应用需要有确切的时间信息,而有些网络又需要运营级的同步,所以在未来的NGN中,用同步以太来实现频率同步,用1588来传递时间信息会是一个很好的解决方案。

G.8261与同步以太

ITU-T的G.8261建议定义了分组网络的同步特性。它规定了1)网络中所容许的最大jitter抖动和wander漂移;2)分组网络边界与TDM接口时需要达到的jitter和wander的最小值。它同时还概述了网络单元实现同步功能的最小要求。ITU-T通过G.826

IEEE_802.11及802.15.4协议剖析

标签:文库时间:2024-09-29
【bwwdw.com - 博文网】

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11

IEEE_802.11