钻机移位要求

“钻机移位要求”相关的资料有哪些?“钻机移位要求”相关的范文有哪些?怎么写?下面是小编为您精心整理的“钻机移位要求”相关范文大全或资料大全,欢迎大家分享。

钻机技术要求

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

二、技术要求 1. 井型概述

寿阳作业区所部署井位主要为煤层气水平井组,根据已知部分井位的钻井设计,直井井深为700-800米左右,水平井井深在1500-1600米左右。QYN1-12井组的直井和水平井一开井眼尺寸预计为311.2mm,下244.5mm套管;二开井眼尺寸为215.9mm,下177.8mm套管;水平井三开井眼尺寸为130.2mm,PE管完井。

2、规范及行业标准 序号 1 2 3 4 5 6 7 8 9 10 标准 API Spec 4F API Spec 8C API Spec 7K API Spec 7 SY-T 6671-2006 区区域划分推荐作法 SY-T 6854-2003 GBT23505-2009 SY-T 5612-2007 SY-T 5244-2006 SY-T 6497-2000 《车装钻机》 石油钻机和修井机 石油钻井液固相控制设备规范 钻井液管汇 石油钻井用万向轴器 名称 钻井和修井井架、底座规范 钻井和采油提升设备 钻井和井口操作设备规范 旋转钻柱构件规范 石油设备电气设备安装区域一级、0区、1区和2 1

11 12

SY-T 6680-2007 IEC60079-1998 石油钻机和修井机出厂验

移位运算实验

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

计算机组成原理实验报告

姓 名 吕翠 班 级 08级师范汉班 同 组梁瑞 实验者 课 程计算机组成原理 名 称 主 讲侯宏霞 教 师 实验心得: 这次实验预习的比较好,我将实验涉及到的理论知识都思考到了,所以实验过程中比较顺利。加上吸取到上次实验的教训,我在做实验的选线上十分注意,最后完成实验。在这次实验中我学到了关于移位运算实验过程的各种控制开关的功能,加深了对带进位及不带进位的循环左移及循环右移知识的理解和巩固,我认为实验操作上没什么难的,重要的是要知道关于每一步的原理。我会继续努力把每一次实验都认真完成。 学 号 20081121129 联 系 15034987603 电 话 实验室 计算机组成原名 称 理实验室 实 验 二 序 号 辅 导侯宏霞 教 师 专 业 计算机科学与技术 Email 602691198@qq.com 实 验2010年10月19日 日 期 实 验移位运算实验 项 目 指 导侯宏霞 教 师 学生签名: 吕翠 2010 年10 月20 日 成绩评定及教师评语: 成绩:

关于VHDL移位运算

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

http://hi.http://www.wodefanwen.com//??·2μ???3?/blog/item/55404419c2b74fc4ad6e75d1.html

VHDL的类型限定过于强,以至于很多时候出问题都是类型错误……

VHDL语言本身的这几个运算符是对bitvector定义的,而我们一般都用std_logic_vector, 这样就很导致一般不能编译通过。

而更不爽的是ieee.numeric_bit或者numeric_std包中都有重载sll之类,但是很讨厌的是 他们都是对signed/unsigned定义,没办法,

要是想给std_logic_vector用这几个移位运算符(sll, srl, sla, sra, rol, ror) 只得这样:

o <= to_stdlogicvector(to_bitvector(i) sll 1);

呵呵,不想这么麻烦的话,用Verilog吧,尤其是SystemVerilog,用起来舒服多了~

修改:附另一种形式的完成测试程序: library ieee;

use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity test is port (

i: in std_lo

移位寄存器 - 图文

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

移位寄存器及其应用

学院:物理电子工程学院 专业:电子科学与技术 年级:2012 指导老师:周胜海 实验者:王洋 学号:20125044017 同实验者:余冰新 实验日期:2014.12.18 一、实验目的

1、掌握移位寄存器CC40194的逻辑功能与使用方法,

2、了解移位寄存器的使用—实现数据的串行,并行转换和构成环形计数器; 3、进一步掌握用示波器观察多个波形时序关系的方法。 二、实验仪器及材料

1. 数电实验箱、双踪示波器、数字万用表。

2. 元件:CC40194两片、74HC125两片,74LS20一片。 三、实验原理

1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下

依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左右移的控制信号便可实现双向移位要求。根据寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同,可互换使用

2、移位寄存器应用很广,可构成移位寄存器型计数器、顺序脉冲发生器和串行累加器;可用作数

据转换,即把串行数据转换为并行数据,或

露天潜孔钻机

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

露天潜孔钻机

潜孔钻机是中、小露天矿山的主要钻孔设备。

一、原理:潜孔钻是把气动冲击器连钻头装在钻杆的前端,凿岩时,冲击器随着钻孔延深而潜入孔底破碎岩石。

二、适用范围:直径80~250㎜的炮孔,深度一般不大于30m,但最深可达150m。

三、特点:

1、冲击力直接作用于钎头,冲击能量不因在钎杆中传递而损失,固凿岩速度受孔深影响小。

2、以高压气体排出孔底的岩渣,很少有重复破碎现象。 3、孔壁光滑哦,孔径上下相等,一般不会出现弯孔。 4、工作面的噪声低。

四、潜孔钻机分类与典型钻机:

1、按其重量和钻孔直径可分为三种类型:

(1)、轻型潜孔钻机,重量较轻,钻孔孔径一般小于110㎜; (2)、中型潜孔钻机,重量约为10~15吨,孔径为150~170㎜;

(3)、重型潜孔钻机,重量在30吨以上,孔径一般大于200㎜;

2、按其使用的空气压力可分为两种类型:

(1)、普通型潜孔钻机,空气压力一般小于0.7MPa,

1

(2)、高气压型潜孔钻机,空气压力一般大于1.0 MPa,

国内露天潜孔钻机主要技术特征

机型 孔径(㎜) 垂直孔深(m) 孔向(o) 钻杆直径(㎜) 一根钻杆长度(m) 接杆 不接杆 钻具回转转数(r/min) 钻具回转扭矩(N·m

实验六 移位寄存器

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

实验资料

实验六 移位寄存器

一、 实验目的

1、 掌握移位寄存器的工作原理,逻辑功能。

2、 掌握集成移位寄存器74LS194的逻辑功能及应用。

二、 实验器材

74LS00 74LS74(2块) 74LS194(2块) 74LS04 74LS20

三、 实验原理

74LS194是一个典型的4位双向移位寄存器。

寄存器用于寄存一组二进制代码,它被广泛用于各类数字系统和计算机。一位触发器能储存一位二进制代码,N各触发器能储存N位二进制代码。移位寄存器除了具有存储代码功能以外,还具有移位功能,是指寄存器里的代码能在移位脉冲的作用下依次左移或右移。因此,移位寄存器不但可以用来寄存代码,还可以用来实现数据的串行或并行. 数值的运算和处理。

1. 复位功能

清零脉冲可以直接使移位寄存器处于初始状态。 2. 置数功能

置数正脉冲可将A. B. C. D端的数码直接置入。 3. 移位功能

在移位脉冲的作用下。串行输入端的数码依次移入移位寄存器,经过4个CP信号后,串行输入的4位代码全部移入,4个触发器的输出端可得到并行数出吗。因此利用移位寄存器可以实现代码的串行—并行转换。

74LS194 功能表

实验资料

从功能表可以看出:当清零端RD为低电平时,输出端Q0~ Q3为低电

移位寄存器 - 图文

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

移位寄存器及其应用

学院:物理电子工程学院 专业:电子科学与技术 年级:2012 指导老师:周胜海 实验者:王洋 学号:20125044017 同实验者:余冰新 实验日期:2014.12.18 一、实验目的

1、掌握移位寄存器CC40194的逻辑功能与使用方法,

2、了解移位寄存器的使用—实现数据的串行,并行转换和构成环形计数器; 3、进一步掌握用示波器观察多个波形时序关系的方法。 二、实验仪器及材料

1. 数电实验箱、双踪示波器、数字万用表。

2. 元件:CC40194两片、74HC125两片,74LS20一片。 三、实验原理

1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下

依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左右移的控制信号便可实现双向移位要求。根据寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同,可互换使用

2、移位寄存器应用很广,可构成移位寄存器型计数器、顺序脉冲发生器和串行累加器;可用作数

据转换,即把串行数据转换为并行数据,或

移位寄存器 - 图文

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

洛阳理工学院实验报告

系部 计算机与信息工程系 班级 B090507 学号 B09050704 姓名 李慧敏 PLD原理与应用 实验日期 成绩 10.26 课程名称 实验名称 移位模式可控的8位移位寄存器设计 实验目的: 1、熟悉QUARTUSⅡ开发环境; 2、熟悉工程、文件、实体、结构体的建立,编译、修改、仿真。 3、熟悉移位寄存器的原理及设计方法。 实验条件: 装有QUARTUSⅡ软件的电脑 实验内容与步骤: 利用QuartusⅡ设计系统之前,应该先建立一个文件夹,此文件夹可作为QuartusⅡ默认的工作库。QuartusⅡ中任何一项设计都是一项工程,在工程设计过程中,会产生许多仅扩展名不同的同名文件,放在同一个文件夹下,便于统一管理。出于同样的原因,不同的设计项目最好放在不同的文件夹中。 1. 编辑文件 (1)启动Quartus 双击桌面上的QuartusⅡ图标或单击开始按扭,启动QuartusⅡ。 (2)编辑文件 单击标题栏中的File→New对话框,单击New对话框的Device Design Files选项卡,选择编译文件的语言类型,这里选择VHDL Files,选好后单击【OK】按钮,打开VHDL文本编辑器窗口,并在其中输入

03:我国钻机问题

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

我国钻机方面的一些问题以及钻机发展的趋势,很好

石油钻机的有关问题作者:马家骥

2013年10月12日

我国钻机方面的一些问题以及钻机发展的趋势,很好

一、我国石油钻机发展历程经过六十年的努力,我国从钻机进口国,已成为钻机出口国,产品出口到美洲、非洲、中东、亚太等国家和地区。特别是以成套钻机、顶驱、钻井泵批量出口北美市场,标志着我国已成为继美国之后又一个钻机制造大国。

2005-2009我国钻修机生产与出口情况生产 3520台出口 2371台出口占比 0.67

我国钻机方面的一些问题以及钻机发展的趋势,很好

表1年份1878 1907 1909 1940 1953 1957 1959 1966 1970

石油钻机发展历程石油钻机发展历程在台湾引进美国蒸汽驱动顿钻钻机在延长引进日本蒸汽驱动顿钻钻机在独山子引进俄国顿钻钻机开始引进德国、美国的转盘钻机引进前苏联5д、бу-40等钻机太原矿山机器厂成功бу-40钻机兰石厂仿制成功5д钻机命名为130-1兰石厂研制锥齿轮万向轴并车4000m钻机兰石厂生产锥齿轮万向轴并车3200m钻机(130-2)引进罗马尼亚F320(6000m)钻机在北京召开钻机座谈会确定生产胶带并车的大庆-I型钻机以兰石厂为主机厂生产20台大庆I

PLC循环移位指令的用法

标签:文库时间:2024-10-06
【bwwdw.com - 博文网】

PLC指令的设计与应用

计算机 PLC应用———PLC循环移位指令的用法机床电器200512

计算机 PLC应用

PLC循环移位指令的用法

李云新 (湘潭大学,411100)

摘要:介绍了FX2系列PLC循环移位指令的几种应用技巧,这些技巧具有实用价值。关键词:PLC;循环移位指令;用法

中图分类号:TP39118   文献标识码:B   文章编号:1004-0420(2005)02-0035-

TheapplicationmethodofLIYun()

Abstract:ThispaperskillFX2seriesPLCcyclicshiftinstruction,whichpossesspracticalvalue.

KLC;instruction;applicationskill

1 单按钮控制起动和停止

用单按钮控制起动和停止可减少PLC的输入输出点数,减少投资,提高效率。

图1为应用循环移位指令实现单按钮控制起动和停止的梯形图。图中,M8002是在PLC运行开始瞬间接通的初始脉冲。在PLC上电时使标志逻辑线圈M8022复位,同时将十进制数21845转换成二进制数后传送到寄存器D0中,使D0中的数据状态为0101010101010101。当X0第1次由OF