EDA实验结论和心得

“EDA实验结论和心得”相关的资料有哪些?“EDA实验结论和心得”相关的范文有哪些?怎么写?下面是小编为您精心整理的“EDA实验结论和心得”相关范文大全或资料大全,欢迎大家分享。

EDA实验

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

实验一 应用QuartusII 完成完成LED 的驱动的驱动

一、实验目的

通过此实验让学生逐步了解、熟悉和掌握FPGA 开发软件QuartusII 的使用方法及Verilog HDL 的编程 方法。 2、实验内容

实验平台 (EP2C5 核心板)上有 8个发光二极管 ,其中一个发光二极管的硬件原理图如图 1.1 所示,其他的发光二极管原理图与此类似。

本实验的内容是点亮EDA-MK-01模块上的4个发光二极管。 3、 实验原理

FPGA 器件同单片机一样,为用户提供了许多灵活独立的输入/输出 I/O 口。FPGA 每个 I/O 口可以配置为输入、输出、双向 I/O、集电极开路和三态门等各种组态。作为输出口时,FPGA 的 I/O 口可以吸入最大为 24mA 的电流,可以直接驱动发光二极管 LED 等器件。所以只要正确分配并锁定引脚后,在相应的引脚上输出低电平“0”,就可以实现点亮该发光二极管的功能。 4、实验步骤

1)使用QuartusII建立工程

每个开发过程开始时都应建立一个 QuartusII 工程,QuartusII 是以工程的方式对设计过程进行管理,QuartusII工程中存放创建FPGA配置文件需要的所有设置和设计文件。

eda实验4

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

电子信息工程学系实验报告

课程名称:EDA技术与实验

实验项目名称:简单分频时序逻辑电路的设计 实验时间:2012.9.19 班级:通信101 姓名: *** 学号:0107051**

指导教师(签名): 翁亚滨 成 绩: 实 验 目 的:

1、掌握基本的时序逻辑电路的实现方法。 2、初步了解分频时序逻辑电路的生成方法。

3、过程赋值有阻塞(blocking)赋值和非阻塞(non_blocking)赋值两种方式。 4、学习顺序执行和并发执行。

实 验 环 境: Windows x p 、MAX+plusⅡ软件。

实 验 内 容 及 过 程:

一、测试模块的编写,模块调用的仿真:

1、按屏幕上方的“新建文件”按钮,或选择菜单“File”→“New”,出现如图3-1所

EDA实验报告

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

EDA实验报告

电科133-27-李玲玲

实验一:“四选一“多路选择器

一、实验目的

通过实验让用户逐步了解、熟悉和掌握FPGA开发软件Quartus Ⅱ的使用方法及

VHDL的编程方法。

二、实验内容

描述一个“四选一“多路选择器,通过实验实现一个四选一的多路选择器,观察其波形图,实现四选一的原理

三、实验原理

a,b,c,d是四个输入端口,s1和s0为通道选择控制信号端,y为输出端。当s1和s0取值分别为00,01,10和11时,输出端y将分别输出来自输入口a,b,c,d4个输入口分别输入不同频率信号时,针对选通控制端s1,s0的不同电平选择,则输出端y有对应的信号输出。

四、实验步骤

1)使用Quartus Ⅱ建立工程

打开Quartus Ⅱ软件并建立工程;建立图形设计文件;建立文本编辑文件; 2)Quartus Ⅱ工程设计 在VHDL文件中编写源程序,从设计文件创建模块,将led.bsf模块添加到Quartus Ⅱ顶层模块,添加引脚和其他基本单元 3)设置编译选项并编译硬件系统

设置编译选项,编译硬件系统,查看编译报告,下载硬件设计到目标FPGA

五、实验参考程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_116

EDA技术实验书

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

数字电路实验

实验一 逻辑门电路功能测试

一、实验目的

1.掌握常用集成逻辑门的逻辑功能,熟悉其外形和引脚排列 2.了解门的门控制作用 3.掌握常用逻辑门的变换方法 二、使用仪器和器件 1.双踪示波器

2.数字电路实验箱

3.74LS51 74LS00 74LS86 74LS20 74LS04 三、实验内容和步骤

1.TTL集成门电路逻辑功能的测试 (1)“与非门”逻辑功能的测试

在实验箱上用一个四输入端“与非门”( TTL:74LS20 )。按表1-1完成逻辑功能的测试(输入接逻辑电平选择开关、输出接电平显示)。

表1-1 “与非门”逻辑功能的测试

输 入 A 1 1 0 0 B 1 0 1 0 C 1 1 0 0 输 出 Y 注:测试前应将“与非”门多余的输入端,作适当处理。 (2)用“与或非”门实现Z?AB?C的逻辑功能

在实验箱上用 “与或非”门( TTL:74LS51 ),按Z?AB?C的逻辑功能接线,并完成表1-2的功

EDA技术实验书

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

数字电路实验

实验一 逻辑门电路功能测试

一、实验目的

1.掌握常用集成逻辑门的逻辑功能,熟悉其外形和引脚排列 2.了解门的门控制作用 3.掌握常用逻辑门的变换方法 二、使用仪器和器件 1.双踪示波器

2.数字电路实验箱

3.74LS51 74LS00 74LS86 74LS20 74LS04 三、实验内容和步骤

1.TTL集成门电路逻辑功能的测试 (1)“与非门”逻辑功能的测试

在实验箱上用一个四输入端“与非门”( TTL:74LS20 )。按表1-1完成逻辑功能的测试(输入接逻辑电平选择开关、输出接电平显示)。

表1-1 “与非门”逻辑功能的测试

输 入 A 1 1 0 0 B 1 0 1 0 C 1 1 0 0 输 出 Y 注:测试前应将“与非”门多余的输入端,作适当处理。 (2)用“与或非”门实现Z?AB?C的逻辑功能

在实验箱上用 “与或非”门( TTL:74LS51 ),按Z?AB?C的逻辑功能接线,并完成表1-2的功

EDA实验(1)报告

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

实验一 单级放大电路的设计与仿真

一、实验目的

1.掌握放大电路静态工作点的调整和测试方法 2.掌握放大电路的动态参数的测试方法

3.观察静态工作点的选择对输出波形及电压放大倍数的影响

二、实验要求

1. 设计一个分压偏置的单管电压放大电路,要求信号源频率10kHz(峰值1mV) ,负载电阻3.9kΩ,电压增益大于70。

2. 调节电路静态工作点(调节偏置电阻),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3. 调节电路静态工作点(调节偏置电阻),使电路输出信号不失真,并且幅度最大。在此状态下测试:

① 电路静态工作点值;

② 三极管的输入、输出特性曲线和b 、 rbe 、rce值; ③ 电路的输入电阻、输出电阻和电压增益; ④ 电路的频率响应曲线和fL、fH值。

三、实验步骤

1、饱和失真:

分压偏置电路设计电路图如下,其中滑动变阻器、R1、R2为分压偏置电阻,NPN三极管采用射极形式输出,调节滑动变阻器至最大值的5%处左右,输入信号放大到3mv/10kHz可明显观察到失真波形。

EDA设计(Ⅰ)实验报告 第 1 页 共 55 页

饱和失真波形如下:

EDA实验报告

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

E D A

实 验 报 告

实验——

八位十六进制频率计

学院: 班级: 姓名: 学号:

一、实验目的:

1.熟悉Quartus II软件的使用;

2.掌握VHDL文本设计流程、组合电路的设计仿真和测试;

3.通过电路的仿真及验证,进一步了解八位十六进制频率计的功能;

二、实验内容:

根据VHDL文本设计流程,利用Quartus II完成八位十六进制频率计。

A.建立工作库文件夹和编辑设计文件

a.新建一个文件夹,命名为liulan,放在D盘中;

b.打开Quartus II,新建文件,选择 VHDL File选项,分四个模块——侧频控制电路、32位锁存器、32位计数器、频率计顶层文件分别输入源程序如下:

1. 侧频控制电路

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY FTCTRL IS

PORT( CLKK : IN STD_LOGIC; CNT_EN : OUT STD_LOGIC; RST_CNT : OUT STD_LOGIC; loa

EDA实验(1)报告

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

实验一 单级放大电路的设计与仿真

一、实验目的

1.掌握放大电路静态工作点的调整和测试方法 2.掌握放大电路的动态参数的测试方法

3.观察静态工作点的选择对输出波形及电压放大倍数的影响

二、实验要求

1. 设计一个分压偏置的单管电压放大电路,要求信号源频率10kHz(峰值1mV) ,负载电阻3.9kΩ,电压增益大于70。

2. 调节电路静态工作点(调节偏置电阻),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3. 调节电路静态工作点(调节偏置电阻),使电路输出信号不失真,并且幅度最大。在此状态下测试:

① 电路静态工作点值;

② 三极管的输入、输出特性曲线和b 、 rbe 、rce值; ③ 电路的输入电阻、输出电阻和电压增益; ④ 电路的频率响应曲线和fL、fH值。

三、实验步骤

1、饱和失真:

分压偏置电路设计电路图如下,其中滑动变阻器、R1、R2为分压偏置电阻,NPN三极管采用射极形式输出,调节滑动变阻器至最大值的5%处左右,输入信号放大到3mv/10kHz可明显观察到失真波形。

EDA设计(Ⅰ)实验报告 第 1 页 共 55 页

饱和失真波形如下:

EDA实验讲义 - 图文

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

EDA技术实验讲义

(含GW48系列EDA实验开发系统详细使用说明)

杭州电子工业学院

www.kx-eda.com

目 录

第一章 GW48 EDA系统使用说明

第一节 GW48教学实验系统原理与使用介绍 第二节 实验电路结构图

第三节 GW48CK/GK EDA系统和GWDVP-B应用板

第二章 GW-DSP适配板使用说明

第三章 GW48系统专用配套之GWDVP-B电子设计应用板使用说明 第四章 (有关内容请见光盘“PDF重要文件” ) 第五章 原理图输入设计方法

第一节 1位全加器设计向导

第二节 设计有时钟使能的两位十进制计数器

第六章VHDL设计初步

第一节 2选1多路选择器的VHDL描述 第二节 寄存器描述及其VHDL语言现象 第三节 VHDL文本输入设计方法初步

【实验1】 1位全加器原理图输入设计 ; 【实验2】 1位全加器VHDL文本输入设计 【实验3】 有时钟使能的两位十进制计数器原理图输入设计 【实验4】 两位十进制频率计原理图输入设计 【实验5】 8位串入并出寄存器原理图输入设计 【实验6】

EDA实验箱实验指导书

标签:文库时间:2024-10-03
【bwwdw.com - 博文网】

实验二 流水灯

1. 实验目的

通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL语言的编程方法;学习简单的时序电路的设计和硬件测试。

2. 实验内容

本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。 3. 实验原理

在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。为了方便观察,流水的速率控制在2Hz左右。在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。为了产生2Hz的时钟源,在此调用了分频模块int_div。

4. 实验步骤

(1) 启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。

(2) 新建ledwater.vhd源程序文件,源代码如下。然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。生产符号文件ledwater.bsf (File→ Cre