13.二输入或非门的VHDL设计

更新时间:2023-08-09 09:41:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

重庆科创职业学院授课方案(教案)

课名: 教 师: 班级: 编写时间:

教学步骤及内容 : 一.复习旧课 二.新课 1.VHDL 相关语法理论知识讲解 2.设计任务:设计一个二输入或非门,a, b 为数据输入端的端口 名, y 为输出。 3.设计过程: (1)输入设计项目并将其设为当前项目 (2)在文本编辑窗中设计输入二输入或非门的 VHDL 代码 方法一: library ieee; use ieee.std_logic_1164.all; entity nor2 is port (a,b:in std_logic; y:out std_logic); end nor2; architecture nor2_1 of nor2 is begin y <= a nor b; end nor2_1;

旁批栏:

旁批栏:

方法二: library ieee; use ieee.std_logic_1164.all; entity nor2 is port (a,b:in std_logic; y:out std_logic); end nor2; architecture nor2_2 of nor2 is begin t2: process (a,b) vareable comb:std_logic_vector (1 downto 0); begin comb:= a & b; case comb is when when when when when end case; end process t2; end nor2_2; "00" => y <= '1'; "01" => y <= '0'; "10" => y <= '0'; "11" => y <= '0'; others=> y <= 'X';

7.程序下载 Max+PlusII-progeammer-JTAG-Multi-Device JTAG chain setup-Select Programming file – 找 到 你 所 要 的 .pof 文 件 -add-OK 8.实验箱上现象的分析描述与验证。 三.小结: 对学生在实验过程中遇到的问题进行分析,总结,做出合 理的评价。 四.作业 将程序输入到 MAX+PLUS II 软件进行相关操作,完成实 验报告。

旁批栏:

本文来源:https://www.bwwdw.com/article/yrkj.html

Top