可编程逻辑器件、FPGA、CPLD实验报告5
更新时间:2023-11-18 00:21:01 阅读量: 教育文库 文档下载
CPLD/FPGA设计实验报告
实验名称: 时序电路仿真基础 实验目的: 掌握modelsim软件的基本使用方法,完成基本时序电路仿真 学生姓名: 学号: 实验内容:
实验一 8位全加器
一、 创建工程
装 工程名称:add8
二、 创建文件
顶层实体文件名:add8
订创建Verilog HDL文件,实现一个8位全加器的测试功能。
`timescale 1ns/1ns module t_add8; reg cin;
reg [7:0] a,b; wire [7:0] sum; wire cout;
线 parameter delay=100; add8 u(a,b,cin,cout,sum); initial begin
a=8'b00000000;b=8'b00000000;cin=1'b1;
#(delay/2) a=8'b00000001;b=8'b00000001;cin=1'b0; #(delay/2) a=8'b00000010;b=8'b00000011;cin=1'b0;
#(delay/2) a=8'b00000100;b=8'b00000111;cin=1'b0; #(delay/2) a=8'b00001000;b=8'b00001001;cin=1'b1; #(delay/2) a=8'b00010000;b=8'b00010001;cin=1'b1; #(delay/2) a=8'b00100000;b=8'b00100001;cin=1'b1; #(delay/2) a=8'b01000000;b=8'b01000001;cin=1'b0; #(delay/2) a=8'b10000000;b=8'b10000001;cin=1'b0; #(delay/2) a=8'b00000111;b=8'b00000111;cin=1'b0; #(delay/2) a=8'b00001111;b=8'b00001001;cin=1'b1; #(delay/2) a=8'b00011111;b=8'b00010001;cin=1'b1; #(delay/2) a=8'b00111111;b=8'b00100001;cin=1'b1; #(delay/2) $stop; end 三、编译工程
四、仿真
实验二 4选1数据选择器
一、 创建工程
工程名称:mux4_1 顶层实体文件名:mux4_1
二、 创建文件
创建Verilog HDL文件,实现3_8译码器仿真功能。
`timescale 1ns/1ns module t_mux4_1; reg [3:0]in;
reg [1:0] sel; wire out;
parameter delay=50; mux4_1 u1(in,out,sel); initial begin
in=4'b0000;sel=2'b00; #(delay/2) sel=2'b01;
#(delay/2) sel=2'b10; #(delay/2) sel=2'b11;
#(delay/2) in=4'b0101;sel=2'b00;
#(delay/2) sel=2'b01;
#(delay/2) sel=2'b10; #(delay/2) sel=2'b11;
#(delay/2) in=4'b1010;sel=2'b00;
#(delay/2) sel=2'b01;
#(delay/2) sel=2'b10; #(delay/2) sel=2'b11;
#(delay/2) in=4'b1111;sel=2'b00;
#(delay/2) sel=2'b01;
#(delay/2) sel=2'b10; #(delay/2) sel=2'b11; #(delay/2) ; end endmodule 三、 编译工程
四、 仿真
实验三 3_8译码器
一、创建工程
工程名称:decode3_8
二、创建文件
创建Verilog HDL文件,实现一个3_8译码器的测试功能。 `timescale 1ns/1ns module t_decode3_8; reg [2:0] in;
wire [7:0] out; parameter delay=100; decode3_8 u1(in,out); initial begin in=3'b000;
#(delay/2) in=3'b001;
顶层实体文件名:decode3_8
#(delay/2) in=3'b010; #(delay/2) in=3'b011; #(delay/2) in=3'b100; #(delay/2) in=3'b101; #(delay/2) in=3'b110; #(delay/2) in=3'b111; #(delay/2) ; end endmodule 五、 编译工程 六、 仿真电路
正在阅读:
晚春有感作文500字07-02
中国手机马达行业市场调查研究报告(目录) - 图文04-07
余光中经典散文11-21
游上海迪士尼乐园作文600字07-15
校园中最美的一角作文400字06-21
奇妙的声响作文400字06-27
诚信也是一种美作文500字07-15
公司招聘启事(销售经理)模板04-28
想起那件事就愧疚作文600字07-09
- exercise2
- 铅锌矿详查地质设计 - 图文
- 厨余垃圾、餐厨垃圾堆肥系统设计方案
- 陈明珠开题报告
- 化工原理精选例题
- 政府形象宣传册营销案例
- 小学一至三年级语文阅读专项练习题
- 2014.民诉 期末考试 复习题
- 巅峰智业 - 做好顶层设计对建设城市的重要意义
- (三起)冀教版三年级英语上册Unit4 Lesson24练习题及答案
- 2017年实心轮胎现状及发展趋势分析(目录)
- 基于GIS的农用地定级技术研究定稿
- 2017-2022年中国医疗保健市场调查与市场前景预测报告(目录) - 图文
- 作业
- OFDM技术仿真(MATLAB代码) - 图文
- Android工程师笔试题及答案
- 生命密码联合密码
- 空间地上权若干法律问题探究
- 江苏学业水平测试《机械基础》模拟试题
- 选课走班实施方案
- 可编程
- 器件
- 逻辑
- 实验
- 报告
- FPGA
- CPLD
- 2008年至2014年中考物理试题2.2声音的特征选择题
- 境外放款外汇登记业务申请表(最新版)
- 如何制作您的CAD版的电子签名
- 毕业设计(健康档案管理系统) - 图文
- 管理信息系统实验报告实验二
- 理论力学B(1)32学时10级练习册题及解答LPC
- 酒店人事表格
- 《知之为知之,不知为不知》教学设计及反思
- 试论民族民间舞蹈教学中情感训练的重要性
- 工程力学(静力学与材料力学)答案
- RMT—150C岩石力学试验系统 - 图文
- 高分子论文综述(聚酰胺) - 图文
- 浅谈如何提高导游人员的素质(3)
- 谈学生周记在班级管理中的重要作用
- 行政法考题!民警资格考试题!侦查讯问!教授整理!!!
- 2019银行工会创建全国模范职工之家经验交流材料
- 2016重庆综合基础知识章节练习题及答案
- 材料成本差异和坏账准备的练习题及答案
- 1001岗位初中语文教师 80人
- 龙湖地产营销战略分析