ADC0809驱动FPGA实现的verilog程序
更新时间:2024-01-28 14:05:01 阅读量: 教育文库 文档下载
- adc0809驱动程序推荐度:
- 相关推荐
/*FPGA实现的程序:(verilog) 贴子回复于:2008-4-27 15:26:01*/
module AD0809(clk500K, //脉宽(至少100ns) rst_n,
EOC, //约100us后EOC变为高电平转换结束 START, //启动信号,上升沿有效(至少100ns) OE, //高电平打开三态缓冲器输出转换数据 ALE, //高电平有效,选择信道口
ADDA, //因为ADDB,ADDC都接地了,这里只有ADDA为变量 DATA, //转换数据 DATA_R); output START,OE,ALE,ADDA; input EOC,clk500K,rst_n; input[7:0] DATA; output[7:0] DATA_R;
reg START,OE,ALE,ADDA; reg[7:0] DATA_R; reg[4:0] CS,NS; parameter
IDLE=5'b00001,START_H=5'b00010,START_L=5'b00100,CHECK_END=5'b01000,GET_DATA=5'b10000;
always @(posedge clk500K) case(CS) IDLE:
NS=START_H; START_H: NS=START_L; START_L:
NS=CHECK_END; CHECK_END: if(EOC)
NS=GET_DATA; else NS=CHECK_END; GET_DATA:
NS=IDLE; default:
NS=IDLE; endcase
always @(posedge clk500K) if(!rst_n) CS<=IDLE;
else
CS<=NS;
always @(posedge clk500K) case(NS) IDLE:
begin
OE<=0; START<=0;
ALE<=0;ADDA<=1; end START_H: begin OE<=0;
START<=1; //产生启动信号 ALE<=1;
ADDA<=1;//选择信道口IN0 end START_L: begin OE<=0; START<=0;
ALE<=1;//启动信号脉宽要足够长,在启动的时候ALE要一直有效 end CHECK_END: begin OE<=0; START<=0; ALE<=0; end GET_DATA: begin
OE<=1; //高电平打开三态缓冲器输出转换数据 DATA_R<=DATA;//提取转换数据 START<=0; ALE<=0; end default:
begin OE<=0; START<=0; ALE<=0; ADDA<=0; end
endcase endmodule
ad0809是根据逐位逼近的方法产生数据的。。
参考电压为0-5V的话。以0809八位255的转换精度每一位的电压值为(5-0)/255≈0.0196V 设输入电压为X则:
X-27*0.0196>=0则AD7=1否则AD7=0。 X-26*0.0196>=0则AD6=1否则AD6=0。 。 。 。
X-20*0.0196>=0则AD0=1否则AD0=0。 (27指2的7次方。26-------20同理)
若参考电压为0-1V
(1-0)/255≈0.0039V精度自然高了。。可测量范围小了。
状态机要写成3段式的(这是最标准的写法),即 ...
always @(posedge clk or negedge rst_n) ...
current_state <= next_state; ...
always @ (current_state ...) ...
case(current_state) ... s1: if ...
next_state = s2; ... ...
always @(posedge clk or negedge rst_n) ...
else
a <= 1'b0; c <= 1'b0;
c <= 1'b0; //赋默认值 case(current_state) s1:
a <= 1'b0; //由于上面赋了默认值,这里就不用再对b 、c赋值了(b、c在该状态为0,不会产生锁存器,下同) s2:
b <= 1'b1; s3:
c <= 1'b1; default: ... ...
正在阅读:
ADC0809驱动FPGA实现的verilog程序01-28
高一语法复习题 +人教版高一英语上册词汇短语知识点总结06-02
南岳区实验中学2011年初一新生入学须知03-29
铝矾土熟料项目可行性研究报告10-16
策划书 教职工趣味运动会策划书范文05-04
基于价值导向企业战略管理会计体系研究10-28
Tina Pro 实验 讲义05-09
资金交易员培训心得05-01
冲江河水轮发电机组起动试验规(修改)07-09
信息化建设中的网站集约化整合与推广05-18
- exercise2
- 铅锌矿详查地质设计 - 图文
- 厨余垃圾、餐厨垃圾堆肥系统设计方案
- 陈明珠开题报告
- 化工原理精选例题
- 政府形象宣传册营销案例
- 小学一至三年级语文阅读专项练习题
- 2014.民诉 期末考试 复习题
- 巅峰智业 - 做好顶层设计对建设城市的重要意义
- (三起)冀教版三年级英语上册Unit4 Lesson24练习题及答案
- 2017年实心轮胎现状及发展趋势分析(目录)
- 基于GIS的农用地定级技术研究定稿
- 2017-2022年中国医疗保健市场调查与市场前景预测报告(目录) - 图文
- 作业
- OFDM技术仿真(MATLAB代码) - 图文
- Android工程师笔试题及答案
- 生命密码联合密码
- 空间地上权若干法律问题探究
- 江苏学业水平测试《机械基础》模拟试题
- 选课走班实施方案
- ADC0809
- verilog
- 驱动
- 实现
- 程序
- FPGA