数字系统课程设计教学大纲

更新时间:2024-01-04 02:45:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

“数字系统课程设计”教学大钢(课程设计类)

课程设计名称:数字系统课程设计

英文名称:Digital System course design 课程编号:2200391 面向专业:测控技术与仪器

课程总学时: _32 _;讲授学时__4 ;实验学时__28 __; 课程学分: __ 1_ __; 本大纲主撰人: 秦文虎

一、 课程设计作用和具体目标

本课程设计是电工电子类专业课程体系中的一门重要的实践性课程。其目的是使学生将已学过的数字电路及可编程数字系统的设计等知识综合运用于电子系统的设计中,从而培养学生对电子系统的综合设计能力。通过实践教学平台培养学生自主学习、正确分析和解决问题的能力。

在实践教学过程中,要求学生熟练掌握常用数字电路及其功能模块的性能特点,并能灵活运用这些模块来设计数字系统;基本掌握自顶向下的电子系统设计方法及自底向上的调试方法;学会使用VHDL语言或Verilog语言设计数字电路;掌握MAXPLUS II或Fundation、Expert等开发工具和逻辑仿真的方法。

二、设计内容,学时分配与组织 序号 设计(或实践)项目名称 可编程逻辑器件原理数字系统设计概1 要,VHDL设计 实际电路的VHDL描述方法 MAX+PLUSII的原理图2 MAX+PLUSII MAX+PLUSII的VHDL设计方法; 3 交通灯控制器 通灯控制器 出租车自动计费4 器 量装置 5 电梯运行控制器 四层电梯运行手动、课程设计 综合型 28 1 和等候时间费自动计课程设计 综合型 28 1 起步价、行车里程费三选一 乡村公路与主干道交课程设计 综合型 28 1 设计方法; 课程设计 讲课 VHDL语法基础 课程设计 讲课 和概念 内容提要 实验性质 实验类型 分配 人数 学时每组备注 自动控制器

三、教学管理模式与注意事项

采用多媒体课堂教学与网络化教学手段相结合的教学模式。除课堂教学外,在网络平台上建立以多媒体网络课件、电子教案、设计应用资料、仪器使用手册等为主要形式的立体化教学资源,为学生提供自主学习、实践的教学手段。

在实践教学过程中,要及时了解学生在课程设计中遇到的问题,进行启发式指导,鼓励他们自主设计,积极创新,逐渐克服对老师的依赖心理,养成自主发现问题、解决问题的习惯。

结合各专业的实际要求,安排1个以上的设计课题供学生选择,学生在所给设计课题中选做一项(也可自拟设计课题,但要满足一定的技术要求,并经指导教师认可),要求设计并调试出符合课题要求的样品,写出符合要求的设计报告。要求学生充分利用课外学时,主动学习,完成电子系统的设计任务,学生设计主要在课外完成,课内时间主要用于调试及疑难解答,课内外学时比例为1:2。

四、设备与器材配置

微机 1台 可编程综合实验系统 1套

五、考核与成绩评定

本课程不安排考试,学生成绩以平时、课程设计以及答辩情况评定。具体分配如下: 平时:10分 课程设计:70分 答辩:20分

总分按优、良、中、及格、不及格评分。

六、教材与参考资料

1、Stephen Brown Zvonko Vranesic ,数字逻辑与VHDL设计,清华大学出版社,2004 2、赵鑫,VHDL与数字电路设计,机械工业出版社,2005

3、Volnei A Pedroni,VHDL数字电路设计教程,电子工业出版社,2005

本文来源:https://www.bwwdw.com/article/x3wx.html

Top