计机实验指导 - 图文

更新时间:2024-01-11 11:23:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

计算机组成原理

实验指导书

计算机科学系 2013、3、20

前 言

计算机组成原理是计算机专业一门重要的主干课程,课程的任务是使学生掌握计算机组成部件的工作原理、逻辑实现、设计方法及各部件连接成整机的方法,建立CPU级和硬件系统级的整机概念,培养学生对计算机硬件系统的分析、开发与设计能力。计算机组成原理实践课是配合理论教学中计算机硬件系统的组成、各部件的结构和工作原理而设置的,是掌握计算机组成原理课程的重要环节,通过本课程的实践课,使同学们进一步掌握计算机各部件的基本原理和结构,掌握计算机各部件的基本设计方法和实验方法,帮助同学建立计算机时间-空间的整体概念,巩固课堂知识,初步培养学生的实验操作能力和分析解决问题能力。

实践内容主要通过教师讲解,同学们自己动手实验以及学生的课外学习,本课程实验要求学生在学习计算机组成原理课的同时,再课外阅读一些有关实验的资料和参考书籍,作好预习;实践课时独立或合作完成实验内容,并在实验后,按要求完成实验报告。

I

目 录

实验一 TDN-CM+实验箱介绍 ................................................................................. 1 实验二 算术逻辑运算实验 ..................................................................................... 13 实验三 实验四 实验五 实验六 实验七 进位控制实验 ............................................................................................. 17 移位运算实验 ............................................................................................. 20 存储器实验 ................................................................................................. 22 微控制器实验 ............................................................................................. 25 总线控制器实验 ......................................................................................... 33

II

实验一 TDN-CM+实验箱介绍

一、实验目的

全面了解西安唐都科教仪器公司TDN-CM+计算机组成原理实验箱的系统硬件构成、配置、功能特点和实验单元电路功能及原理。

二、实验要求

1、根据本文提供的TDN-CM+布局图(见附件1)对照实验箱熟悉各部件; 2、熟悉和了解西安唐都科教仪器公司TDN-CM+实验箱的原理和结构; 3、掌握实验箱的连线操作方法. 三、实验环境

西安唐都科教仪器公司TDN-CM+计算机组成原理实验箱。 四、实验内容

1、试验箱简介

TDN-CM+计算机组成原理教学实验系统是西安唐都科教仪器公司精心设计、研制的新型实验装置,是TDN-CM的增强型产品。它可以通过多种“原理计算机”的设计和实现方法灵活全面地支持“计算机组成原理”课程的实验教学,满足不同层次和不同教学环节的要求,是完全符合教学规律及开放式实验教学方法的高档次实验设备。

TDN-CM+系统采用内、外总线结构,并按开放式结构要求设计了各关联的单元电路,除进一步规范了可组成的原理计算机结构外,也为实验教学提供了充足的硬件可设计空间和软件可设计空间,在实验电路构造方面,系统提供了多种手段,可按部件层次组合方式逐次构造不同结构和复杂程度的部件实验电路及模型计算机。在操作界面上,系统提供本机使用、OE联机使用,PC联机使用多种操作方式,且彼此间可随意切换,具有极佳的示教效果。 2、系统功能及特点 1)、开放式的实验电路结构

系统支持三种实验电路构造方式,实验元件零连线方式、单元电路跨接方式和实验电路“软连线”方式。对于实验元件零接线方式(须选配CME扩展实验板),可采用单股导线从零开始在面包板上逐一搭起一个实验田电路;对于各单元电路,只需使用排线做简单的跨接,就可构造出(即使是较复杂的)实验电路;同时,也可使用可编程逻辑器件通过在系统可编程设计实验电路,实现电路“软接线”。

用户可以根据实验教学的需要,自由选择、灵活组态,单独使用一种方式或几种方式结合使用,从而做到“搭接过的实验线路不再重搭”,彻底改变传统的实验教学模式,使教学双方可把实验的重点放在实验的设计、调试和分析上,并且由于减少了硬件接线时间而不减少接线内容而获得极佳的实验效果。

2)、灵活多变样的实验操作方式 系统提供灵活多样的操作方式,独立使用,通过拨动开关及发光二极管以二进制数码形式进行编程、显示、调试;配合OE操作器,使用小键盘和数码块作为输入、显示设备,以二六进制数码形式进行编程、显示、调试,并具备单步一条微指令、单步一条机器指令、连续运行程序、联机打印等高级调试功能;通过RS-232C通讯接口控制操作。用户可以根据需要选择,并在各种操作方式之间随意切换。

3)、PC联机图形操作界面

系统提供图形方式的联机操作软件,可显示用户设计的实验的模型的逻辑示意图。在调试过程中可动态显示数据流向及数据、地址、控制总线的各种信息,使调试过程极为生动形象。

2

4)、EPROM存贮器

1

系统采用EPROM作为存贮器,从而对程序具有断电保护功能。 5)、可重用定义的运算结构及微程序指令格式 系统中运算器结构、微程序指令及定义均可由用户根据自身教学需要来作灵活改变或重新设计。

6)、逻辑信号测试功能

系统具有两路逻辑信号示波器功能,通过运行联机软件,可在PC机的屏幕上同时观测两路逻辑信号。

7)、高效开关稳压电源

系统采用了性能优异,具有抗短路、过流的高性能稳压开关电源,从而可以避免学生实验过程中因接线失误而导致的芯片或整机损坏的情况。

8)、可支持其它课程的实验教学

除组成原理实验之外,选配CME扩展实验板还可以支持“数字逻辑”课程的实验教学,满足不同学科的需要。

3系统构成

TDN-CM+实验系统硬件内容如表1-1,系统硬件结构如图1-1:

表1-1 TDN-CM+系统硬件内容 电路名称 运算器单元 (ALU UNIT) 计数器与地址寄存器单元 (ADDRESS UNIT) 微控制器 (MICRO CONTROLLER UNIT) 主存单元 (MAIN MEM) 输入设备、输出设备 (INPUT DEVICE&OUTPT DEVICE) PLD单元 接口实验板(系统附件) 逻辑信号测量单元 单片机控制单元(PC UNIT) 电源 主要电路内容 运算器、进位控制器、移位寄存器、寄存器堆、内部总线 地址寄存器、程序地址计数器 指令寄存器、指令译码器、微代码控制寄存器及其编程器、逻辑译码单元、时序电路 SRAM6116 开关、显示灯、控制台(读写、启动、停机) 2片Isplsi1016 PLD芯片 8253、8255、8259接口芯片各一片 两路逻辑信号PC示波器 控制单片机、RS-232C串口等 采用高效开关电源、输出为5V/2A 2

系统另外配有OE小操作器(选件)和CME扩展实验板:

OE系统操作器:24键盘和8位LED显示、打印接口;

CME扩展实验板:通用实验单元、信号源单元、开关及显示灯单元、数码块显示及发声单元。

3、 TDN-CM+系统主要实验项目 (1)、运算器组成实验 (6)、带移位运算的模型机的设计与实现

1)、算术逻辑运算实验 (7)、复杂模型机的设计与实现 2)、进位控制实验 (8)、可重构原理计算机的组成设计实验 3)、移位运算实验 (9)、扩展8255并行口实验 (2)、存贮器实验 (10)、扩展8253定时器/计数器实验 (3)、微控制器实验 (11)、PLD应用实验 (4)、总线控制实验 (12)、基于RISC处理器构成的模型机的设计与实现

2

(5)、基本模型机的设计与实现

内总线 外总线 移位寄存器 运算器 指令寄存器 程序计数器 地址寄存器 主存储器 输出设备接口 输入设备接口 控制信号 信号源 微指令输出 控制信号控制信指令译码器 号 微程序控制器 指令码 控制输入 PC微机 小操作器 控制信号 控制信号 地址总线 输出设备 输入设备 微控信号输出 PC联机管理系统软件 八位LED显示 24健键盘 打印机口 监控 控制总线 时序信号产生器 CPU 数据总线 微程序控制模块 89C51控制模块 控制总线

地址总线 串口

图1-1 TDN-CM+系统硬件结构图

5、TDN-CM+系统的配置

TDN-CM+系统出厂时已全部安装完好,其中的元件配置情况如表1-2。

表1-2 TDN-CM+系统的主要配置

项目 运算器 移位器 指令程序寄存器 通用寄存器

内容 74LS181 74LS299 SRAM6116 74LS273 74LS374 数量 2 1 1 2 3 项目 输出设备 内容 GAL16V8 数码管 555 74LS123 电位器 数量 2 1 1 2 3

信号源 指令寄存器 程序计数器 微程序控制存储器 时序发生器 74LS273 74LS161 E2PROM2816 74LS175 74LS74 拨动开关 1 2 3 1 1 2 2 2 1 3 2 1 8 编辑运行方式开关 显示灯 单片机 串行通讯接口 三态开关 发光二极管 89C51 MC1488 MC1489 9针插座 5V,±12V输出 8253 8255 8259 RS-232C 集成操作系统 排线若干 1 8 1 1 1 1 1 1 1 1 1 1 启停控制器 微动开关 74LS273 74LS175 74LS74 Isplsi 1016 74LS245 拨动开关 机内电源 微指令寄存器 微地址寄存器 PLD 输入设备

接口实验板 通讯电缆 软盘 实验用元件 6、TDN-CM+系统硬件环境 (1)、系统电源

TDN-CM+系统采用本公司生产的SP-15型三路高效开关电源作为系统工作和实验电源,其主要技术指标为:

输入电压:AC165—260V

输出电压/电流:5V/2A、12V/0.2A、-12V/0.2A 输出功率:15W 效率:>=75%

稳压性能:电压调整率<=0.2%

负载调整率<=0.5% 纹波系数<=0.5%

00

工作环境温度:-5C——40C

系统电源已团置于电路板下方机箱内,电源开关在电路板的左上角。当关闭电源后,不要立即重新开启,关闭到重新开启之间需要至少30秒间隔。 7、系统实验单元电路 (1)、运算器单元(ALU UNIT)

运算器单元位于实验箱线路板左部,它包括运算器单元和寄存器堆单元。

1)、运算器单元(ALU UNIT)

运算器单元由以下部分构成:两片74LS181构成了并一串型8位ALU;两个8位寄存器DR1和DR2作为暂存工作寄存器,保存参数或中间结果;ALU的输出由三态门74LS245通过排针连到数据总线上;一片8位的移位寄存器74LS299可通过排针连到数据总线上;由GAL和74LS74锁存器组成进位标志控制电路和为零标志控制电路;进位标志和为零标志指示灯。

2)、寄存器堆单元(REG UNIT)

这部分由三片8位寄存器R0、R1、R2组成,他们用来保存操作数及中间运算结果等。三个寄存器的输入已连至BUS总线,而三个寄存器的输出共用一个RJ1引出,待用排线连至总线。 (2)、计数器与地址寄存器单元(ADDRESS UNIT)

此单元位于实验线路板的中部,由地址寄存器AR、程序计数器PC及8位地址显示灯构成。单元中程序计数器,地址寄存器的输入已接至总线,而程序计数器的输出以排针形式引

4

出(ADJ6),地址寄存器的输出以排针形式引至外总线单元”EXT BUS”中的 AD7-AD0。 (3)、指令寄存器单元(INS UNIT)

指令寄存器单元中指令寄存器的输出以排针形式引出,构成模型机时用它作为指令译码电路的输入,实现程序跳转控制。 (4)、时序电路单元(STATE UNIT)

时序电路单元位于装置的左上部,其电路由四部分构成:消抖电路(KK1),时序控制(TS1,TS2,T3,TS4),时钟信号源(Φ),拨动二进制开关组(STOP,STEP)。 其框图如下,用户只需将信号源的输出插孔相连,然后按动START(KK1)微动开关,根据STOP及STEP的状态,T1-T4将输出有规则的方波信号。其电路构成如图1-2所示。

消抖电路 START QD 时序控制 TS1 TS2 TS3 TS4

拨动开 关组 STATE UNIT STOP STEP H23 SIGNAL UNIT 时钟信号源 图1-2 时序电路单元

下面我们详细介绍其中各部分电路:

a. 单拍脉冲及消抖电路

在实验中KK2一般用来作为单拍模冲信号发生器;START:以将其输出接入时序电路中的START处,作为时序电路的启动开关。所以,START一般作为启动时序电路目的用。

b.时序控制电路,拨动快关组 STEP(单步),STOP(停机)分别是来自实验台上部的两个二进制开关STEP,STOP的模拟信号。启动是来自实验台“STATE UNIT”单元的一个微动快关START的按键信号 。当STEP=0(EXEC)时,按下START微动开关,运行触发器Cr一直处于“1”状态,因而时序信号TS1-TS4将周而复始的发送出去。若STEP=1时,按下START微动开关,机器处于单步运行状态,即此时发送一个CPU周期的时序信号就停机。利用单步方式,每次只产生一条微指令,因而可以观察微指令的代码与当前微指令的执行结果。另外,当机器连续运行时,如果使STOP开关置“1”(STOP)也会使机器停机。

此电路采用一片74LS175、4D触发器组成移位发生器,经译码逻辑产生不受控制的间隔时序信号TS1、TS2、TS3、TS4。该单元左侧方波信号源可产生频率及脉宽可调的方波信号。我们可根据实验自行选择方波信号的频率及脉宽。经启停控制电路运行触发器Cr控制,产生受控的全机工作所需的节拍脉冲信号TS1-TS4。 c.信号源

此单元位于“STATE UNIT”左侧,标有“SIGANAL UNIT”,可先调节W1,使H24端输

5

出用户期望的某一频率的方波信号,信号的频率在330HZ-580Hz,若希望更高的频率,则可更换电容(C*)即可;然后,再调节W2使H23端输出特定占空比的信号,供实验时用。 (5)、微控器电路单元(MICRO-CONTROLLER UNIT)

本系统的微控器单元主要由编程部分和核心微控器部分组成。

编程部分是通过编程开关的相应状态选择及由CLK,CLK0引入的节拍脉冲的控制来完成将预先定义好的机器指令对应的微代码程序写入到2816控制存贮器中,并可以对控制存贮

2

器中的机器代码进行校验。该系统具有本机现场直接编程功能,且由于选用2816 EPROM芯片为控制存贮器,所以具备掉电保护功能。

核心微控器主要完成接收机器指令译码器送来的代码,使控制转向相应机器指令对应的首条微代码程序,对该条机器指令的功能解释或执行的工作。更具体讲,就是通过接收CPU指令发来的信号,找到本条机器指令对应的首条微代码的微地址入口,再通过由CLK引入的时序节拍脉冲的控制,逐条读出微代码。试验板上的微控制单元的24位显示灯(MD1-MD24)显示的状态即为读出的微指令。然后,其中几位再经过译码,一并产生试验板所需的相应控制信号,将它们加到数据通路中相应的控制位,可对该条机器指令的功能进行解释和执行。指令解释到最后,再继续接收下一条微代码对应的微地址入口,这样周而复始,即可实现机器指令程序的运行。

核心微控器同样是根据24位显示灯所显示的相应控制位,再经部分译码产生的二进制信号来实现机器指令程序顺序,分枝,循环运行的,所以,有效地定义24位微代码对系统的设计至关重要。

1)、核心微控器单元

通过编程开关的不同状态,可进行微代码的编程,校验,运行。在单元电路原理图中:

? 微地址显示灯显示的是后续微地址,而24位显示灯显示的是后续地址的二进制控

制位。

? CLK0为微地址锁存器(U24)的时钟信号,试验板中己接至“W/R UNIT ”单元的

T1中。

? 2861(U21,U22,U23)单元的片选信号(CS)在手动状态下一直为“0”,而在和PC

联机状态下,受89C51控制。

? MK1-MK24为微控制器的微代码输入二进制开关。

? MJ19为微地址输入端,微控制试验中在编程和校验状态时,我们可通过它来人为

的确定微地址单元并完成读,写操作。

CLK为微代码输出锁存器(U30,U31,U32)及后续的微地址输出锁存器的信号引出端。实验板中己将CLK接至“W/R UNIT”单元中的T2。CLR为清零信号的引出端,实验板中己接至“SWITCH UNIT”单元中最右的CLR开关上,所以此二进制开关为CLR专用。SE1-SE6端挂接到CPU的指令译码器的输出端,通过译码器确定相应机器指令的微代码入口,也可人为手动模拟CPU的指令译码器的输出,达到同一目的。

2)、编程器单元

在该实验电路中设有一编程开关(位于微控制器单元内左中部),它具有三中状态:PROM(编程),READ(校验),RUN(运行)。

? 处于编程状态时,微地址锁存器(U24)读有效,微代码输入三态门(U18,U19)。 ? 处于编程状态时,微地址锁存器(U24)读有效,微代码输入三态门(U18,U19,U20)

打开,后续微地址三态门(U29)关闭,同时2816(U21,U22,U23)写有效,读无效。此时若启动时序电路,即可将微代码写入到相应的微地址中,并在相应的显示灯上显示。

? 处于校验状态时,微地址锁存器(U24)读有效,微代码输入三态门(U18,U19,U20)

关闭,后续微地址三态门(U29)关闭,同时2816(U21,U22,U23)读有效,写无效。此时若启动时序电路,即可将相应的微地址中的微代码读出,并在显示灯上显

6

示。

? 出于运动状态时,微地址锁存器(U24)关闭,微代码输入三态门(U18,U19,U20)

关闭,后续微地址三态门(U29)打开,同时2816(U21,U22,U23)读有效,写无效。此时若启动时序电路,即从微地址显示灯显示的地址向下运行。 (6)、 逻辑译码单元(LOG UNIT)

本单元主要功能是根据机器指令及相应的微代码进行译码使微程序转入相应的微地址入口,从而实现微程序的顺序,分支,循环运行,及三个工作寄存器R0、R1、R2的选通译码,它们共由两片GAL构成。 (7)、主存贮器单元(MAIN MEN)

此单元位于实验室装置左下方,用于存贮试验中的机器指令,其电路原理如图1-3所示。

1-3 主存贮器单元

(8)、输入设备单元(INPUT DEVICE)

此单元位于实验室装置左下角,使用8个拨动开关作为输入设备。 (9)、输出设备单元(OUTPUT DEVICE) 此单元位于实验室装置左下方,作为输出外设,输出数据进入锁存器由两个数码管显示其值。 (10)、总线单元(BUS UNIT)

本单元位于实验室装置中部,包括6组排针,它们三横向对应通连的。排针下方是和总线对应的8位数据显示灯,以显示总线上的二进制数值,将引出的排针与总线单元用8孔排针线连好,就可以构成相应的实验室电路的数据通路,其电路构成如图1-4所示。

7

图 1-4 总线单元

(11)、控制信号发生单元(W/R UNIT) 此单元位于线路板左中部,用来转接产生各单元所需的时序信号,以及外总线所需的读/写控制信号W/R。该单元电路由2部分组成,具体如图1-5所示:

1)、4个排针引出端T1,T2,T3,T4为时序信号输入端,它们和实验单元中相应的时序信号控制端全部相连。在做部件试验时,须将相应线接至KK2来产生单脉冲:做模型机实验时,T1、T2、T3、T4接至“STATE UNIT ”相应的TS1、TS2、TS3、TS4即可。

2)、在实验中只需适当定义24位微代码信号的含义,并将读/写控制位接入到WE上,就可为系统外总线提供W/R信号。

图 1-5 控制信号发生单元

(12)、外总线单元(EXT BUS)

此单元位于实验装置中下角,其中AD7-AD0排针为“ADDRESS UNIT”的地址总线输出;D7-D0排针为“BUS UNIT”的数据总线输出;W/R 作为“W/R UNIT”的输出读/写控制线:A8,A9为转接插座,可接至“MICRO-CONTROLLER UNIT”的24位控制位中的任意两位,作为外设选择信号。 (13).扩展单元(EX NUIT)

此单元位于实验装置的左下角,单元两侧的8线排针为两组独立的总线扩展转接区,中间为I/O译码电路,采用一片74LS139作译码器,其电路结构如图1-6所示。 当A9=0,A8=0时,选中Y0; 当A9=0,A8=1时,选中Y1; 当A9=1,A8=0时,选中Y2; 当A9=1,A8=1时,选中Y3。

8

其中:Y0、Y1、Y2、Y3为低电平有效。

图 1-6 I/O译码器

(14)、逻辑信号测量单元(OSC NUIT)

本单元位于实验室系统板左上方,其标注有“OSC UNIT ”,本单元提供两路逻辑信号PC示波器,用于测试数字信号波形,其电路构成如图1-7所示。

图 1-7 逻辑信号测量单元电路

(15)、单片机控制单元(8051 UNIT)

此单元为CM+特有的单元,控制单元主要包括:

1)、 89C51 无外扩存贮器(数据和程序存贮器)最小系统; 2)、 3片74LS245构成24位微代码I/O接口;

3)、 P3.0、P3.1和1488、1489构成PC串行通讯接口; 4)、 P2.0-P2.5构成6位地址总线;

5)、 P0口及P3口的其他线作为控制目的用;

6)、 数据通路控制信号隔离电路(2片74LS245构成)。 由于系统设置了数据通路控制信号隔离(将一些控制信号用2片74LS245进行隔离)见下图1-8,其中带“’”的信号线位系统原接线端的相应信号,而不带“’”的信号线为系统现阶段用户实际接线端。所以,实现了在与PC微机联机情况下,对微代码或机器指令程序编程,校验,调试可在PC微机上直接进行。特别是两种方式的操作有随意切换的特点。

9

图 1-8

(16)、开关单元(SWITCH UNIT) 此单元位于装置右下方,单元中的开关都可作为通用电路使用,为防止实验时接至二进制开关产生混乱,二进制开关下方都有丝印字(用户也可以自定义),所以试验接线时可将试验中的各电平控制模拟信号接至相应二进制开关。 (17)、指示灯单元(LED UNIT)

此单元由4个发光二极管构成,用于测量和指示逻辑信号,信号为“0”时指示灯亮,信号为“1”时指示灯灭。 (18)、PLD单元

此单元位于试验装置右下方,由两片PLD芯片及PC编程接口组成,芯片的所有引脚以排针形式引出。两片ssplsi1016芯片可进行在系统可编程。编程时由专用电缆将PC-PORT排针接至PC机并口,若编程左边芯片,则将E-PLD排针接至左边E-PLDA上;若编程右边芯片,则将E-PLD排针接至右边E-PLDB上。若将两个芯片连成菊花链形式编程,可将E-PLD排针中的ispEN,SCLK,MODE信号线连至两芯片的编程座E-PLDA和E-PLDB对应的端字上,将E-PLD中的 SDI连至A座的SDI上,再将A座的SDO连至B座的SDI上,最后将B座的SDO接至E-PLD排针的SDO上,即可购成菊花链,如图1-9所示。

图 1-9

(19)、接口试验板单元

此单元由8253、8255、8259 常用接口芯片以单元电路形式构成,可用于模型计算机接口扩展试验。

五、注意事项

10

1)、使用前后均应仔细检查主机板,防止导线,元件等物品落入装置内导致线路短路,元件弄坏; 2)、电源线应放置再机内专用线盒中; 3)、注意系统的日常维护,经常清理灰尘和杂物; 4)、电源关闭后,不能立即重新启动,关闭与重新开启之间至少有30秒间隔。

11

附件1:TDN-CM+系统布局图

12

实验二 算术逻辑运算实验

一、实验目的

1、掌握简单运算器的数据传输通路;

2、验证运算功能发生器(74LS181)的组合功能。 二、实验要求

掌握实验箱的连线操作方法,按实验内容和实验步骤完成操作,完成实验报告及实验数据结果的填写,所有实验环节均由每位学生独立完成,严禁抄袭他人实验结果。

三、实验环境

DN-CM+计算机组成原理实验箱一台,排线若干。 四、实验内容及原理

运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态

门(74LS245)和数据总线相连。运算器的两个数据输入端分别由二个锁存器(74LS373)锁存,锁存器的输入连至数据总线,数据开关(“INPUT DEVICE”)用来给出参与运算的数据,并经过一三态门(74LS245)和数据总线相连,数据显示灯(“BUS UNIT”)已和数据总线相连,用来显示数据总线内容。运算器数据通路如下图2-1所示,图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号,其它均为电平信号。由于实验电路中的时序信号均已连至”W/R UNIT”的相应时序信号引出端,因此,在进行实验时,只需将”W/R UNIT”的T4接至”STATE UNIT”的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3,S2,S1,S0,Cn,M,LDDR2,ALU-B,SW-B各电平控制信号用”SWITCH UNIT”中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B各电平控制信号用”SWITCH UNIT”中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B为低电平有效,LDDR1,LDDR2为高电平有效。

13

数据显示灯CNALU-BCN+4B7 - - - - - - - - B074LS245A7 - - - - - - - - - A0S3S2S1S0MCN+4F3- - - - F0CNF3 - - - F0CN+4CNALU(181)ALU(181)MA3 - - A0B3 - - - B0MA3 - - -A0B3- - - B0Q7 - - Q4Q3 - - Q0Q7 - - Q4DR2(273)Q3 - - Q0DR1(273)D7 - - - - - - - - D0 D7 - - - - - - - - D0LDDR1T4 LDDR2T4 B7B0SW-B三态门245数据开关 图2-1 运算器通路图

五、实验步骤

(1) 按下图2-2连接实验线路,仔细查线无误后,接通电源(图中有小圆圈标明的需要用户连接)。

14

W/R UNITT4STATE UNITKK2+ALU UNIT AUJ3ALU-BS3...CNLDDR1LDDR2 BUS UNIT SWJ3SW-BSW-BALU-BS3...CNLDDR1LDDR2SWITCH UNIT INPUT DEVICE 图2-2 实验接线图

(2) 用二进制数码开关向DR1和DR2寄存器置数(亮灯为0,熄灯为1),具体操作步骤图示如下:

数据开关(01100101) 三态门 寄存器DR1 (01100101) 数据开关(10100111) 寄存器DR2 (10100111) {

ALU-B=1 SW-B=0

}{

LDDR1=1 LDDR2=0 T4= }

{LDDR1=0 LDDR2=1 T4= }

(3) 检验DR1和DR2中存的数是否正确,具体操作为。关闭数据输入三态门(SW-B=1),打开ALU输出三态门(ALU-B=0),当置S3,S2,S1,S0,M为11111时,总线指示灯显示DR1中的数,而置成10101时总线指示灯显示DR2中的数。 (4) 验证74LS181的算术运算功能(采用正逻辑) 在给定DR1=65,DR2=A7的情况下, SW-B=1、ALU-B=0保持不变,改变运算器的功能设置,按表置S3、S2、S1、S0、M、Cn的数值,观察总线显示灯显示的结果。填入下表2-1中,

表2-1 DR1 DR2 S3 S2 S1 S0 M=0(算术运算) Cn=1无进位 Cn=0 有进位 M=1 (逻辑运算) 15

6A 0 0 0 0 F=( 65) F=( 66) F=( 9A) 5 7 0 0 0 1 F=( E7) F=( E8) F=( 18) 6A 0 0 1 0 F=( 7D) F=( 7E) F=( 82) 5 7 0 0 1 1 F=( ) F=( ) F=( 6A 0 1 0 0 F=( ) F=( ) ) 5 7 0 1 0 1 F=( ) F=( ) F=( 0 1 1 0 F=( ) F=( ) ) 0 1 1 1 F=( ) F=( ) F=( 1 0 0 0 F=( ) F=( ) ) 1 0 0 1 F=( ) F=( ) F=( 1 0 1 0 F=( ) F=( ) ) 1 0 1 1 F=( ) F=( ) F=( 1 1 0 0 F=( ) F=( ) ) 1 1 0 1 F=( ) F=( ) F=( 1 1 1 0 F=( ) F=( ) ) 1 1 1 1 F=( ) F=( ) F=( ) F=( ) F=( ) F=( ) F=( ) F=( ) F=( )

六、实验报告要求

填写实验报告,记录实验结果,并和理论分析进行比较,验证。

16

实验三 进位控制实验

一、实验目的

1、验证带进位控制的算术运算功能发生器的功能; 2、按指定数据完成几种指定的算术运算。 二、实验要求

掌握实验箱的连线操作方法,按实验内容和实验步骤完成操作,完成实验报告及实验数据结果的填写,所有实验环节均由每位学生独立完成,严禁抄袭他人实验结果。

三、实验环境

DN-CM+计算机组成原理实验箱一台,排线若干。 四、实验内容及原理

在算术逻辑运算实验的基础上增加进位控制部分,其中181的进位进入一个74锁存器,其写入是由T4和AR信号控制,T4是脉冲信号,实验时将T4连至STATE UNIT的微动开关KK2上。AR是电平控制信号(低电平有效),可用于实现带进位控制实验,而T4脉冲是将本次运算的进位结果锁存到进位锁存器中。进位控制运算器的实验原理如图3-1所示:

图3-1 进位控制实验原理图

五、实验步骤

(1) 按图3-2连接实验线路,仔细查线无误后,接通电源。

17

图3-2 实验接线图

(2) 用二进制数码开关向DR1和DR2寄存器置数,具体方法:

① 关闭ALU输出三态门(ALU-B=1),开启输入三态门(SW-B=0),设置数据开关; ② 例如向DR1存入01010101,向DR2存入10101010。具体操作步骤如下: 数据开关 寄存器DR1 寄存器DR2 三态门 数据开关 (01010101) (01010101) (10101010) ALU-B=1 LDDR1=1 LDDR1=0 SW-B=0 LDDR2=0 LDDR2=1 T4= T4= 关寄存器 LDDR1=0 LDDR2=0

(3) 关闭输入三态门(SW-B=1),开启ALU输出三态门(ALU-B=0)。 (4) 进位标志清零具体操作方法如下:

实验板中SWITCH UNIT单元中的CLR开关为标志CY,ZI的清零开关,它为零时是清零状态,所以依次将开关做1→0→1操作,即可使标志位清零。 注:进位标志指示灯CY亮时表示进位标志为“0”,无进位:标志指示灯CY灭时表示进位为“1”,有进位.

(5) 验证带进位运算及进位锁存功能,使Cn=1,AR=0来进行带进位算术运算。 例如:做加法运算,首先向DR1,DR2置数,然后使ALU-B=0,S3S2S1S0M状态为10010,此时数据总线上显示的数据为DR1加DR2加当前进位标志,这个结果是否产生进位,则要

18

按动微动开关KK2,若进位标志灯亮,表示无进位;反之,有进位。因做加法运算时数据总线一直显示的数据为DR1+DR2+CY,所以当有进位打入到进位锁存器后,总线显示的数据为加上进位位的结果。

六 、实验报告要求

填写实验报告,包括姓名、学号、专业班级和实验名称等项,在报告中详细记录实验结果及遇到的问题和解决办法。

19

实验四 移位运算实验

一、实验目的

1、了解移位发生器74LS299的功能。 2、验证移位控制电路的组合功能。 二、实验要求

掌握实验箱的连线操作方法,按实验内容及步骤完成操作,完成实验报告,所有实验环节均由每位学生独立完成,严禁抄袭他人实验结果。

三、实验环境

DN-CM+计算机组成原理实验箱一台,排线若干。 四、实验内容及原理

移位运算实验原理图如图4-1所示,使用了一片74LS299作为移位发生器,其8位输入/输出端与总线单元连接。74LS299移位器的片选控制信号为299-B,在低电平时有效。T4为其控制脉冲信号,由“W/R UNIT”单元中的T4接至“STATE UNIT”单元中的单脉冲发生器KK2上而产生的, S0、S1、M 作为移位控制信号,此移位控制逻辑功能如下表4-1所示:

表4-1 移位控制电路功能表

299-B 0 0 0 0 0 任意 S1 0 1 1 0 0 1 S0 0 0 0 1 1 1 M 任意 0 1 0 1 任意 功能 保持 循环右移 带进位循环右移 循环左移 带进位循环左移 装数

五、实验步骤

1、按图4-2连接实验线路,仔细查线无误后接通电源。

20

图 4-2 实验接线图

2、向移位寄存器置数。

数据开关 (01101011) 三态门 置数 (01101011) 三态门 { SW-B=0 }

{ }

S0=1 S1=1 T4= { SW-B=1 }

3、移位运算操作。参照“移位控制电路功能表”的内容,先将S1、S0和299-B置为0、

0和0,检查移位寄存器单元装入的数是否正确,然后通过改变S0、S1、M的状态,并按动微动开关KK2,观察移位的结果。

六、实验报告要求

填写实验报告,记录实验过程中遇到的问题及解决方法,将实验观测到的移位结果填入实验报告。

21

实验五 存储器实验

一、实验目的

掌握静态随机存储器RAM工作特性及数据的读写方法。

二、实验要求

掌握实验箱的连线操作方法,按实验内容及步骤完成操作,完成实验报告,所有实验环节均由每位学生独立完成,严禁抄袭他人实验结果。

三、实验环境

DN-CM+计算机组成原理实验箱一台,排线若干。 四、实验内容及原理

实验所用的半导体静态存储器电路原理如图5—1所示,实验中的静态存储器一片6116(2K*8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。地址灯AD0—AD7与地址线相连,显示地址线内容。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

因地址寄存器为8位,接入6116的地址A7—A0,而高三位A8—A10接地,所以其实际容量为256字节。6116有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效(CE=0)时,OE=0进行读操作,WE=0时进行写操作。本实验中将OE常接地,在此情况下,当CE=0,WE=0时进行读操作,CE=0、WE=1时进行写操作,其写时间与T3脉冲宽度一致。

实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由”SWITCH UNTY”单元的二进制开关模拟,其中SW-B为低电平有效,LDAR为高电平有效。

图5-1 存储器实验原理图

五、实验步骤

1、形成时钟脉冲信号T3,具体接线方法和操作步骤如下: (1)、接通电源,用示波器接入方波信号源的输出插孔H24,调节电位器W1,使H24

22

端输出实验所期望的频率的方波。

(2)、将时序电路模块中的Φ和H23排针相连。 (3)、在时序电路模块中有两个二进制开关”STOP”和”STEP”.将”STOP”开关置为”RUN”状态,”STEP”开关置为”EXEC”状态时,按动微动开关START,则T3输出为连续的方波信号,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。当”STOP”开关置为”RUN”状态,”STEP”开关置为”STEP”状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。

(4)、关闭电源。

2、按图5-2连接实验线路,仔细查线无误后接通电源。由于存储器模块内部的连线已经接好,因此只需完成实验电路的形成,控制信号模拟开关、时钟脉冲信号T3与存储器块的外部连接。

图5-2 实验接线图

3、 给存储器的00、01、02、03、04地址单元中分别写入数据11、12、13、14、15,

具体操作步骤如下:(以向0号单元写入11为例)

23

4、 依次读出第00、01、02、03、04号单元中的内容,观察上述各单元中的内容是否

与前面写入一致。具体操作步骤如下:(以从0号单元读出11数据为例)

24

实验六 微控制器实验

一、实验目的

1、掌握时序产生器的组成原理; 2、掌握微程序控制器的组成原理;

3、掌握微程序的编制、写入,观察微程序的运行。

二、实验要求

掌握实验箱的连线操作方法,按照实验内容和步骤完成实验报告,所有实验环节均由每位学生独立完成,严禁抄袭他人实验结果。

三、实验环境

DN-CM+计算机组成原理实验箱一台,排线若干 四、实验内容及原理

1、实验原理

实验所用的时序控制电路框图如图6-1所示,可产生4个等间隔的时序信号TS1--TS4。

其中:φ为时钟信号,由实验台右上方的方波信号源提供,可产生频率及脉宽可调的方波信号。学生可根据实验自行选择方波信号的频率及脉宽。图中STEP(单步)、STOP(停机)分别是来自实验板上方中部的两个二进制开关STEP,STOP的模拟信号。START键是来自实验板上方左部的一个微动开关START的按键信号。当STEP开关为0时(EXEC),一旦按下启动键,时序信号TSI--TS4将周而复始地发送出去。当STEP为1(STEP)时,一旦按下启动键,机器便处于单步运行状态,即此时只发送一个CPU周期的时序信号就停机。利用单步方式,每次只读一条微指令,可以观察微指令的代码与当前微指令的执行结果。另外,当机器连续运行时,如果STOP开关置“1”(STOP),也会使机器停机,或使CLR开关拨至零也可以使时序清零。时序状态图17。

由于时序电路的内部线路已经连好,所以只需将时序电路与方波信号源连接,即将时序电路的时钟脉冲输入端φ接至方波信号发生器输出端H23,就可产生时序信号TS1--TS4。时序电路的CLR已接至实验板左下方的CLR模拟开关上。 2、微程序控制电路与微指令格式 (1)、 微程序控制电路

微程序控制器的组成见图6-2,其中控制存储器采用3片2816的E2PROM,具有掉电保护功能,微命令寄存器18位,用两片8D触发器(74273)和一片4D(74175)触发器组成。微地址寄存器6位,用三片正沿触发的双D触发器(7474)组成,它们带有清“0”端和预置端。在不判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器置为“1”状态,完成地址修改。

在该实验电路中设有一个编程开关(位于实验板右上方),它具有三种状态:PROM (编程)、READ(校验)、RUN(运行)。当处于“编程状态”时,实验者可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中。当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确。当处于“运行状态”时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。图中

25

微地址寄存器输出端增加了一组三态门,目的是隔离触发器的输出,增加抗干扰能力,并用来驱动微地址显示灯。

(2)、 微指令格式

微指令字长共24位,其控制位顺序如图6-3所示。

24 23 22 21 20 M 19 18 17 16 15 14 13 A 12 11 10 B 9 8 7 C 6 5 4 3 2 1 S3 S2 S1 S0 Cn WE A9 A8 uA5 uA4 uA3 uA2 uA1 uA0 A字段 B字段 C字段 15 14 13 选择 0 0 0 0 1 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 LDRi

12 11 10 选择 0 0 0 0 1 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 RS-B RD-B RI-B 299-B PC-B

9 8 7 选择 0 0 0 0 0 1 P(1) 0 1 0 P(2) 0 1 1 P(3) 1 0 0 P(4) 1 0 1 AR 1 1 0 LDPC LDDR1 LDDR2 LDIR LOAD LDAR

ALU-B

图 6-3 微指令格式

其中UA5--UA0为6位的后续微地址,A,B,C为三个译码字段,分别由三个控制位译码出多个微命令。C字段中的P(1)-- P (4)是四个测试字位。其功能是根据机器指令及相应微代码进行译码,使微程序转入相应的微地址入口,从而实现微程序的顺序、分支、循环运行,其原理如图6-4所示。

26

图6-2 微控器实验原理图

27

图6-4地址转移逻辑电路

图中I7一I2为指令寄存器的第7--2位输出,SE5--SE1为微程序控制器单元微地址锁存器的强置端输出。AR为算术运算是否影响进位及判零标志控制位,其为零有效。B字段中的RS-B,R0-B,RI-B分别为源寄存器选通信号、目的寄存器选通信号及变址寄存器选通信号,其功能是根据机器指令来进行三个工作寄存器R0,R1及R2的选通译码,其原理如图6-5所示。图中,I0--I4为指令寄存器的第0—4为,LDRi为打入工作寄存器信号的译码器使能控制位。

图6-5 寄存器选通译码电路

五、实验步骤

1、图6-6为几条机器指令对应的参考微程序流程图,将全部微程序按指令格式变成二进

制代码,可得到表6-1所示的二进制代码表。

28

图6-6 微程序流程图

29

表6-1 二进制代码表

微地址 S3 S2 S1 S0 M Cn WEA9 A8 00 01 02 03 04 05 06 07 10 11 12 13 14 15 16 17 20 21 22 23 24 25 26 27 30 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 1 1 0 0 1 0 1 0 1 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 0 1 1 0 1 A B C 1 0 0 1 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 μA5--μA0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 1 0 1 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 1 1 0 0 1 1 1 0 0 1 0 1 1 0 0 0 0 0 0 1 0 0 1 1 1 1 0 1 0 1 0 1 0 1 0 0 1 0 0 1 0 1 0 0 0 1 0 1 1 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 1 0 1 1 0 1 0 0 0 0 0 1 1 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 1 1 0 1 1 1 0 0 0 0 0 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 1 1 0 1 0 0 0 0 0 0 1 0 1 0 0 0 1 0 1

2、按图6-7连接实验线路,仔细查线无误后,接通电源。

图6-7 实验接线图

3、观测时序信号

用双踪示波器(或用PC示波器功能)观察方波信号源的输出,时序电路中的STOP开关置为“RUN”,STEP开关置为\”。按动START按键,从方波器上可观察到TS1,TS2,TS3,TS4各点的波形。比较它们的相互关系,画出其波形,并标注测量所得的脉冲宽度,见图6-8。

30

图 6-8

4、观察微程序控制器的工作原理: (1)、编程

A.将编程开关置为PROM(编程)状态。

B.将实验板上STATE UNIT中的STEP置为“STEP”,STOP置为“RUN”状态。 C.用二进制模拟开关置微地址μA5一μA0 。

D.在MK24--MK1开关上置微代码,24位开关对应24位显示灯,开关量为“0”时

灯亮,开关量为“1”时灯灭。

E.启动时序电路(按动启动按纽START),即将微代码写入到E2PROM 2816的相应地

址对应的单元中。

F.重复C—E步骤,将表12的微代码写入2816。 (2)、校验

A.将编程开关设置为READ(校验)状态。

B.将实验板的STEP开关置为“STEP”状态,STOP开关置为“RUN”状态。 C. 用二进制开关置好微地址μA5一μA0 。

D.按动START键,启动时序电路,读出微代码.观察显示灯MD24--MD1的状态(灯亮为“0”,灭为“1”),检查读出的微代码是否与写入的相同。如果不同,则将开关置于PROM编程状态,重新执行①即可, (3)单步运行

A.将编程开关置于“RUN”状态。

B.实验板的STEP及STOP开关保持原状。

C.操作CLR开关(拨动开关在实验板右下角)使CLR信号1→0→1,微地址寄存器 μA5一μA0清零,从而明确本机的运行入口微地址为000000(二进制)。

D.按动“START”键,启动时序电路,则每按动一次启动键,读出一条微指令后停机,此时实验台上的微地址显示灯和微命令显示灯将显示所读出的一条指令。 注意:在当前条件下,可将MICRO-CONTROLLER单元的SE6--SE1接至SWITCH UNIT中的S3--Cn对应二进制开关上,可通过强置端SE1--SE6人为设置分支地址。将SE1--SE6对应二进制开关量置为“1”,当需要人为设置分支地址时,将某个或几个二进制开关置“0”,相应的微地址位即被强置为“1”,从而改变下一条微指令的地址。(二进制开关置为“0”,相应的微地址位将被强置为“1”) (4)连续运行

A. 将编程开关置为“RUN”状态。

31

B.将实验板的单步开关STEP置为“EXEC”状态。 C.使CLR从1→0→1,此时微地址寄存器清“0”,从而给出取指微指令的入口地址

为000000(二进制)。

D.启动时序电路,则可连续读出微指令。

32

实验七 总线控制器实验

一、实验目的

1、理解总线的概念及其特性。 2、掌握总线传输控制特性。

二、实验要求

1、根据挂在总线上的几个基本部件,设计一个简单的流程: (1)、输入设备将一个数打入R0寄存器。 (2)、输入设备将另一个数打入地址寄存器。

(3)、将R0寄存器中的数写入到当前地址的存储器中。 (4)、将当前地址的存储器中的数用LED数码管显示。

2、完成实验报告,所有实验环节均由每位学生独立完成,严禁抄袭他人实验结果。

三、实验环境

DN-CM+计算机组成原理实验箱一台,排线若干 四、实验内容及原理

借助总线连接,计算机在系统各部件之间实现传送地址、数据和控制信息的操作。总线是多个系统部件之间进行数据传送的公共通路,是构成计算机系统的骨架。因此,所谓总线就是指能为多个功能部件服务的一组公用信息线。

实验所用总线传输实验框图如图7-1所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。这些设备都需要有三态输出控制,按照传输要求恰当有序的控制它们,就可进行总线信息传输。

图7-1 总线传输实验框图

五、实验步骤

1、按照图7-2实验接线图进行连线。

33

图7-2 总线实验接线图

2、 具体操作步骤图示如下:

初始状态应设为:关闭所有三态门(SW-B=1,CS=1,R0-B=1,LED-B=1),其他控制信号为LDAR=0,LDR0=0,W/R(RAM)=1,W/R(LED)=1。

34

本文来源:https://www.bwwdw.com/article/wiko.html

Top