1030230005徐由刚2014-05-12

更新时间:2024-01-11 00:58:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

本科毕业设计(论文) ( 2014 届 )

题 目 波形采集、存储与回放系统

设计

学 院 物理与电子工程学院 专 业 电气工程及其自动化 班 级 10电气工程(1)班 学 号 1030230005 学生姓名 徐由刚 指导教师 杨金伟 讲师 完成日期 2014年3月

台州学院毕业设计(论文)

波形采集、存储与回放系统设计

Waveform Capture Storage and Playback System Design

学生姓名:徐由刚

Student: Xu Yougang

指导教师:杨金伟 讲师

Advisor: Lecturer Yang Jinwei

台州学院

物理与电子工程学院

School of Physics & Electronic Engineering

Taizhou University Taizhou, Zhejiang, China

2014年3月

March 2014

I

台州学院毕业设计(论文)

摘 要

本系统基于数字存储示波器的原理,以单片机89s52为控制核心,通过高速AD对信号的实时采样,上升沿内触发方式,实现波形的单次和多次触发存储和实时连续显示,又具有锁存功能,能通过操作“移动”键显示被存储波形的任一部分。以实用数字示波器为依据,实现了双踪采集与显示。同时,系统还增加了AUTO,上下左右平移,频率、峰-峰值和平均值的显示,频谱分析和波形细节分析的功能。整个系统操作简便,界面友好,达到了较好的性能指标。

关键词

数字, 单片机 , 存储,波形

II

台州学院毕业设计(论文)

Abstract

This system based on the principle of digital storage oscilloscope, MCU 89S52 as the control core, through the real-time sampling speed AD on signal, rising along the inner trigger mode, realize the waveform of single and multiple triggers the storage and real-time display, and a latch function, through the operation \button to display is either stored waveform part of the. Based on the practical Digital oscilloscope, realization of the dual trace acquisition and display. At the same time, the system also increased AUTO, shift up and down about, frequency, peak to peak value and average value, frequency spectrum analysis and waveform analysis of the details of the function. The whole system is simple, friendly interface, to achieve good performance.

Key words

Digital, MCU, Storage, Waveform

III

台州学院毕业设计(论文)

目 录

1. 引言 ................................................................................................................................ 1 2.研究内容与方案论证 ..................................................................................................... 1

2.1 研究内容 ................................................................................................................. 1 2.2 方案论证 ................................................................................................................. 2 3. 系统硬件设计 ................................................................................................................. 3

3.1 系统原理概述 ......................................................................................................... 3 3.2 微型处理器 ............................................................................................................. 4 3.3 系统扩展 ................................................................................................................. 7

3.3.1 I/O接口的扩展 ........................................................................................ 7 3.3.2 存储功能扩展 ........................................................................................... 8 3.4 输入模块 ............................................................................................................... 10 3.5 输出模块 ............................................................................................................... 13 3.6 显示与控制模块 .................................................................. 错误!未定义书签。 3.7 掉电保护电路控制 ............................................................................................... 14 3.8 电源 ....................................................................................................................... 15 4. 系统软件设计 ............................................................................................................. 15

4.1 软件流程 ............................................................................................................... 15 4.2 功能模块分析 ....................................................................................................... 16 5. 调试 ................................................................................................................................ 19

5.1 测试设备 ............................................................................................................... 19 5.2 测试 ....................................................................................................................... 19

5.2.1 测试方法 ..................................................................................................... 19 5.2.2 测试结果 ..................................................................................................... 19 5.2.3 误差分析 ..................................................................................................... 21 5.3 调试小结 ............................................................................................................... 21 6 结论 ................................................................................................................................. 22 参考文献 ............................................................................................................................. 25 谢 辞 ................................................................................................................................. 26

IV

台州学院毕业设计(论文)

1. 引言

随着大规模集成电路技术的发展,中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、(I/O)接口、定时器/计数器和串行通信接口,以及其他一些计算机外围电路等均可集成在一块芯片上构成单片微型计算机,简称为单片机。单片机具有体积小、成本低,性能稳定、使用寿命长等特点。其最明显的优势就是可以嵌入到各种仪器、设备中,这是其他计算机和网络都无法做到的。

波形存回系统(存回系统指存储与回放系统,下同)应用广泛,种类繁多,性能各异,分类也不尽一致。

A.按照频率范围分类可以分为:超低频波形存回系统、低频波形存回系统、视频波形存回系统、高频波形发生器、甚高频波形发生器和超高频波形存回系统。

B.按照输出波形分类可以分为:正弦波形存回系统和非正弦波形存回系统,非正弦波形存回系统又包括:脉冲波形存回系统,函数波形存回系统、扫频波形存回系统、数字序列波形发生器、图形波形存回系统、噪声波形存回系统等。

C.按照波形存回系统性能指标可以分为一般波形存回系统和标准波形存回系统。前者指对输出信号的频率、幅度的准确度和稳定度以及波形失真等要求不高的一类波形存回系统。后者是指其输出信号的频率、幅度、调制系数等在一定范围内连续可调,并且读数准确、稳定、屏蔽良好的中、高档波形存回系统。

波形存回系统是一种常用的信号源,广泛应用于科学研究、生产实践和教学实验等领域。特别是在通信系统的科研实验中,常常需要用到多种不同频率和相位的信号,如正弦波、三角波、方波和锯齿波等,因此多功能波形存回系统应用十分广泛。在数字化时代的今天,经典的由模拟电路组成的波形存回系统已经渐渐远离了人们,取而代之的是电路简洁、功能多样、功耗低的数字电路。在以后的时间里,将会有越来越多的数字化的波形存回系统运用在各种科学技术领域和工程实践中,给人们的日常生活带来更多的便利。

2.研究内容与方案论证

2.1 研究内容

本文是做基于单片机的信号存储与回放的设计,设计并制作一个波形采集、存储

1

台州学院毕业设计(论文)

与回放系统,示意图如图2-1所示。该系统能同时采集两路周期信号波形,要求系统断电恢复后,能连续回放已采集的信号,显示在示波器上,题目的要求是将待测信号进行数字存储,并通过普通示波器将被测信号显示出来。由于等测信号为模拟信号,存储过程为数字方式,故应该将模拟信号进行量化处理,然后存储到存储器中,当需要显示的时候,从存储器读出数据并恢复为模拟信号,并送往普通示波器Y输入端,在X输入端加入相应的扫描信号,采有X-Y方式观察信号的波形。因此,设计的重点是模拟信号的处理与采样、数字信号的存储、普通示波器的显示控制、系统的控制4个方面。 A通道输入 A通道输出 存储 输入 采样 输出 D/A 与控制 电路 与 电路 A/D 89S52芯片 输入 输出 D/A B通道输入 B通道输出 电路 电路 显示 图2-1 波形采集、存储与回放系统示意图

(1)能完成对 A 通道单极性信号(高电平约 4V、低电平接近 0V)、频率约 1kHz 信号的采集、存储与连续回放。要求系统输入阻抗不小于10 kΩ,输出阻抗不大于1kΩ。

(2)采集、回放时能测量并显示信号的高电平、低电平和信号的周期。原信号与回放。信号电平之差的绝对值≤50 mV,周期之差的绝对值≤5%。

(3)系统功耗≤50mW,尽量降低系统功耗,系统内不允许使用电池。

2.2 方案论证

方案1:以单片机89S52为系统核心,以外部AD、存储、DA等器件实现信号的采集、存储与回放功能,整个系统简单灵活,便于实现,功耗低,成本低,便于维修和批量生产,整个电路需要的相应外围电路,简单灵活。

方案2:以带有IP核的FPGA/CPLD完成对信号的采集、存储、显示以及AD\\DA转化等功能,由IP核实现人机交互及信号处理等功能。该方案结构紧凑、可以实现复杂测量与控制只是操作过于繁琐、系统功耗也很大。

2

台州学院毕业设计(论文)

方案3:核心采用C51F149单片机,利用单片机内部的12位高精度AD转换器实现对信号的采集,采用外挂AT24C512存储信号数据,并由D/A完成DA功能转换,配合相应的输入输出电路完成相应的电压转换,1602液晶显示输出相应的各项信息,整个电路比较复杂。

经过以上各个方案的分析比较,本次设计采用方案1。

3. 系统硬件设计

3.1 系统原理概述

按照系统设计功能的要求,初步确定设计系统由主控模块、时钟模块、存储模块、键盘接口模块、显示模块和闹铃模块共6个模块组成,电路系统构成框图如图3-1所示。主控芯片使用52系列AT89S52单片机,时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302,存储模块采用美国ATMEL公司生产的低功耗CMOS串行EEPROM存储芯片AT24C02。DS1302作为主要计时芯片,可以做到计时准确。更重要的是,DS1302可以在很小电流的后备 (2.5~5.5V电源,在2.5V时耗电小于300nA)下继续计时,并可编程选择多种充电电流来对后备电源进行慢速充电,可以保证后备电源基本不耗电。我也以这一代表性的机型进行系统的设计。

其主要功能如下:

(1)8KB可改编程序Flash存储器(可经受1000次的写入/擦除周期) (2)全静态工作:0Hz~24MHz (3)三级程序存储器保密 (4)128×8字节内部RAM (5)32条可编程I/O线 (6)2个16位定时器/计数器 (7)6个中断源 (8)可编程串行通道 (9)片内时钟振荡器

3

台州学院毕业设计(论文)

图3-1 系统设计框图

系统设计如图3-1所示。模拟信号通过信号调理模块(阻抗变换、程控放大、触发电路),将模拟信号的幅值大小调理到高速AD(AD9225)的输入范围0—4V。然后通过AD9225对信号进性采样。采用外部有源晶振作为高速AD的采样时钟来控制恒定的采样率4MHz(晶振的固有振荡频率),在FPGA内部增加波形存储控制模块,当满足触发条件时FPGA以下抽样的方式对AD转换得到的数据进行存储,抽样频率由可水平分辨率来控制(若为AUTO功能,则与信号的频率有关)。将抽样的数据分别存储到双口RAM中,在送入行列扫描电路(2片DAC0800)前经过了波形显示控制模块,它的作用是对RAM的数据及读入起始地址的进行处理。从而实现波形在模拟示波器上的左右平移。同时在FPGA内部实现了512点的FFT计算,成功得分析了输入信号的频谱。

3.2 微型处理器

AT89S52单片机是ATMEL公司生产的51系列单片机中的一种,其特性与51单片机基本一样。89S52的主要性能包括:

(1)与51位控制器产品系列兼容; (2)宽工作电压范围,VCC可为2.7V~6V; (3)全静态工作,可从0Hz 至16Hz; (4)128×8位内部RAM; (5)32条可编程I/O线;

4

台州学院毕业设计(论文)

(6)两个16位定时器/计数器;

(7)中断结构具有5个中断源和2个优先级。 89S52的内部硬件结构如图3-2所示。

1234567891011121314151617181920P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7RSTP3.0(RXD)P3.1(TXD)P3.2(INT0)P3.3(INT1)P3.4(T0)P3.5(T1)P3.6(WR)P3.7(RD)XTAL2XTAL1GNDVCCP0.0(AD0)P0.1(AD1)P0.2(AD2)P0.3(AD3)P0.4(AD4)P0.5(AD5)P0.6(AD6)P0.7(AD7)EA/VPPALE/PROGPSENP2.7(A15)P2.6(A14)P2.5(A13)P2.4(A12)P2.3(A11)P2.2(A10)P2.1(A9)P2.0(A8)4039383736353433323130292827262524232221

图3-2 89S52引脚图

89S52单片机采用40引脚双列直插封装(DIP)形式。采用方形封装工艺。由于受到引脚数目的限制,所以有一些引脚具有第二功能。在单片机的40条引脚中,有2条专用于主电源的引脚,2条外接晶体的引脚,4条控制和其它电源复用的引脚,32条输入/输出引脚。下面分别说明这些引脚的名称和功能。

(1)主电源引脚Vcc和GND

Vcc:芯片主电源,正常工作时接+5V电源。 GND:接电源地。

(2)时钟振荡引脚XTAL1和XTAL2

XTAL1: 接外部晶体的一端。在单片内部,它是反相放大器的输入端,该放大器构成了片内振荡器。在测外部时钟电路时,对于HMOS单片机,此引脚必须接地;对CHMOS单片机,此引脚作为驱动端。

XTAL2: 接外部晶体的另一端。在单片机内部,接至上述振荡器的反相放大器的输出端,振荡器的频率是晶体振荡频率。若采用外部时钟电路时,对于HMOS单片机,该引脚输入外部时钟脉冲;对于CHMOS单片机,此引脚应悬空

(3)控制信号引脚RST/Vpd、ALE/PROG 、PSEN和EA/Vpp

5

台州学院毕业设计(论文)

ALE/PROG: 地址锁存使能输出/编程脉冲输入端。在扩展系统时,ALE用于控制把P0口输出的低8位地址锁存起来,以实现低8位地址和数据的隔离,P0口作为数据地址复用口线。当访问单片机外部程序或数据存储器或外接I/O口时,ALE输出脉冲的下降沿用低8位地址的锁存信号;即使不访问单片机外部程序或数据存储器或外接I/O口,ALE端仍以晶振频率的1/6输出脉冲信号,因此可以作为外部时钟或外部定时信号使用。但应注意,此时不能访问单片机外部程序、数据存储器或外设I/O接口。

PSEN: 片外程序存储器读选通信号。在CPU向片外程序存储器读取指令和常数

时,每个机器周期PSEN两次低电平有效。但在此期间,每当访问外部数据存储器或I/O接口时无效出现。

EA/Vpp: 访问程序存储器控制信号/编程电源输入端。当EA端输入高电平时,

单片机访问片内的程序存储器,在低4KB地址时,将自动转向执行外部程序存储器的程序。当EA输入低电平时,CPU仅访问片外程序存储器。在对8751EPROM编程时,此引脚接+21V的编程电压VPP。

RST/Vpd: 复位/掉电保护信号输入端。单片机上电后,只要在该引脚上输入24个振荡周期2个机器周期0宽度以上的高电平就会使单片机复位;若在RST与Vcc之间接一个10μF的电容,则可实现单片机上电自动复位。RST/Vpd具有复位功能,在主电源Vcc掉电期间,该引脚可接上+5V的备用电源。当Vcc掉到低于规定的电平,而Vpd在其规定的电压范围内时,+5V就向片内RAM 提供备用电源,以保持片内RAM中的数据不丢失,复位后能继续正常运行。

(4)输入/输出(I/O)引脚P0、P1、P2、P3(共32根)

P0.0~P0.7: P0口是一个8位双向I/O端口。在访问片外存储器时,它分时提供低8位地址和作8位双向数据总线。在EPROM编程时,从P0口输入指令字节;在验证程序时,则输出指令字节(验证时要外接上拉电阻)。P0口能一吸收电流的方式驱动8个LSTTL负载。

P1.0~P1.7: P1口是8位准双向I/O端口。在EPROM编程和程序验证时,它输入低8位址。P1口能驱动4个LSTTL负载。

P2.0~P2.7: P2口是8位准双向I/O端口。在CPU访问外部存储器时,它输出高8位地址。在对EPROM编程和程序验证时,它输出高8位地址。P2口可驱动4个

6

台州学院毕业设计(论文)

LSTTL负载。

P3.0~P3.7: P3口是8位准双I/O端口。它是一个复用功能口。作为第一功能使用时,为普通I/O口,其功能和操作方法与P1口相同。作为第二功能使用时,各引脚的定义如表3-1所示。P3口的每一引脚均可独立定义第一功能的输入输出或第二功能。P3口能驱动4个LSTTL负载。

表3-1 各口线的第二功能定义

口线 P3.0 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 引脚 10 11 12 13 14 15 16 17 第二功能 RXD(串行输入口) TXD(串行输出口) INT0(外部中断0) INT1(外部中断1) T0(定时器0外部输入) T1(定时器1外部输入) WR(外部数据存储器写脉冲) RD(外部数据存储器读脉冲) 3.3 系统扩展

89S52具有很强的扩展功能,允许扩展各种外围电路以补充片内资源不足,适应特定应用的需要,扩展内容包括数据存储器、程序存储器、I/O接口等扩展结构如图3-3所示。+

89S52 数据存储器 程序存储器 I/O接口 图3-3 89S52系统扩展结构图

3.3.1 I/O接口的扩展

7

台州学院毕业设计(论文)

由于采集的数据量较多,因此CPU的I/O口线不够用,所以使用8255A来扩展I/O口,以满足系统的要求。

8255A是INTEL公司生产的通用可编程并行I/O接口芯片。89S52和8255A相连可为外设提供三个8位I/O端口,允许采用同步、异步和中断方式传送I/O数据。 3.3.2 存储功能扩展

由于需要保存一定的数据,而89S52片内没有程序存储功能,因此,EA管脚总是接低电平。根据保存的数据量需要,选用了EPROM 2764为外扩的数据存储器。

如图3-4所示,74LS373是带三态缓冲输出的8D锁存器,由于单片机的三总线结构中,数据线与地址线的低8位共用P0口,因此必须用地址锁存器将地址信号和数据信号区分开。74LS373的锁存控制端直接与单片机的锁存控制信号ALE相连,在ALE的下降沿锁存低8位地址。其中,D0~D7为数据输入端;Q0~Q7为数据输出端;OE为三态允许控制端(低电平有效);LE为锁存允许端。

图3-4 74LS373 引脚

该片如何工作由功能表3-2决定,表中L为低电平、H为高电平、Z为高阻抗(相当开路)X为任意电平,一般将OE接低电平,LE接ALE就能正常工作。

表3-2 74LS373功能表

OE LE H H L L X Dn H L L H X Qn H L L H Z L L L L H 紫外线擦除电可编程只读存储器EPROM是国内用得较多的程序存储器。EPROM芯片上有一个玻璃窗口,在紫外线照射下,存储器中的各位信息均变1,即处于擦除状

8

台州学院毕业设计(论文)

态。擦除干净的EPROM可以通过编程器将应用程序固化到芯片中。本次设计所选用的是2764 EPROM。

(1)2764的概述

这是一种可以擦去重写的只读存储器。通常用紫外线对其窗口进行照射,即可把它所存储的内容擦去。之后,又可以对其重新进行编程,写入新的内容。一旦写入,其存储的内容可以长期(几十年)地保存,即使去掉电源电压,也不会影响它所存储的内容。图2-11为通用的EPROM 2764的引脚图,它的容量为8 K×8bit。8 K表示有8×1024个存储单元,8位表示每个单元存储数据的宽度是8位。前者确定了地址线的位数是12位(A0~A12),后者确定了数据线的位数是8位(D0~D7)。目前,除了串行存储器之外,一般情况下,使用的都是8位数据存储器。单一+5 V供电,工作电流为75mA,维持电流为35mA,读出时间最大为250 ns,DIP28封装。

2764—8K EPROM

Vpp A12 A7 A6 A5 A4 A3 A2 A1 A0 D0 D1 D2 GND Vcc PGM N.C A8 A9 A11 OE A10 CE D7 D6 D5 D4 D3

2764 图3-5 2764引脚图

(2)引脚功能

其中,A0~A12为地址线;D0~D7为数据线;CE 为片选线;OE是读线;PGM是编程输入;Vcc为编程电源。

除了12条地址线和8条数据线之外,CE 为片选线,低电平有效。也就是说,只有当CE为低电平时,2764才被选中,否则,2764不工作。

(3)EPROM 2764和锁存器74LS373与89S52组成最小系统

9

台州学院毕业设计(论文)

89S52单片机扩展一片2764程序存储器电路如图3-6所示。

① 地址线。单片机扩展片外存储器时,地址是由P0和P2口提供的。图3-6中,2764的13条地址线(A0~A12)中,低8位A0~A7通过锁存器74LS373与P0口连接,高4位A8~A12直接与P2口的P2.0~P2.3连接,P2口本身有锁存功能。注意,锁存器的锁存使能端LE必须和单片机的ALE管脚相连。

图3-6 89S52扩展2764 EPROM硬件接线图

② 数据线。2764的8位数据线直接与单片机的P0口相连。因此,P0口是一个分时复用的地址/数据线。

③ 控制线。CPU执行2764中存放的程序指令时,取指阶段就是对2764行读操作。注意,CPU对EPROM只能进行读操作,不能进行写操作。CPU对2764的读操作控制都是通过控制线实现的。2764控制线的连接有以下几条:

CE:直接P2.5。

OE:接89S52的读选通信号端。在访问片外程序存储器时,只要端出现负脉冲,

即可从2764中读出程序。

3.4 输入模块

10

台州学院毕业设计(论文)

输入电路主要由比较电路和放大电路组成,主要完成对波形的采集、放大。将输入信号变成满足SPCE061A内部AD转换器的电压范围。为保证采样点电压的稳定性,SPCE061A内部AD转换器的基准电压采用片上的2V基准电压。AD转换器输入信号范围为0-2V。 3.4.1 脉冲整形电路

设计要求显示信号周期,必须对信号整形成脉冲,进行周期测量,电路采用LM311做波形变换电路比较器。LM311的一般应用电路在处理信号时会在输出信号的前后沿出现高频振荡,当LM311的输入信号越小,频率越低,高频振荡越严重。这是由比较器的高增益和带宽造成的。将其加以改进,引入正反馈,接成一个滞回电路。可有效的防止干扰。采用反相输入的方式,即输入电压Ui加在比较器的反相输入端,参考电压Uref加在同相输入端,从输出端通过一个电阻引回到同相输入端,即引入了一个正反馈。比较器的输出电压Uo发生跳变的条件是集成运放反相输入端的电压u-与同相输入端的电压u+相等,即u-=u+,已经知道,理想运放两个输入端的电流等于零,则电阻R1上的压降为零,即u-=ui。而同相输入端的电压u+由参考电压Uref和输出电压Uo共同决定,根据叠加原理,有:u+ = RF*UREF/(R2+RF)+R2*u0/(R2+RF)。当输入信号上升时,输出由+5V变到0V,故此时的门限电平为u+ = R2*5V/(R2+RF),对应比较后信号的下降沿。当输入信号下降时,输出由0V变到+5V,此时的门限电平为0V,对应比较后信号的上升沿。其电路如图3-7所示。

图3-7 比较器电路

11

台州学院毕业设计(论文)

3.4.2 放大电路

放大电路主要由输入调整电路(如图3-8所示)和整形电路(如图3-9所示)组成。采用运放TL082实现B通道对双极性、电压峰峰值为100mV、频率为10Hz~10kHz 信号的放大,通过调节RW1滑动电阻器将信号放大到峰值2V左右后送入后级电路进行相应处理。通过调节,R11和R12对放大倍数可调。放大倍数:Vout=(R11+1)/R12。放大电路(如图3-10所示)。其中LM311是一个比较器,它的功能主要是产生脉冲以供单片机计算周期。

+5V8J3Bin21CON2C13100uFR91MU3A12TL082R10+5V47kRW12kR11R121k-5VJ421CON220k4R6100 图3-8 调整电路

+5VC2100uFC7+5V8650.1uR310KU2273LM311R410KC90.1uFC3100uF41-5V 图3-9 整形电路

-5V 12

台州学院毕业设计(论文)

+5V+5V8J3Bin21CON2C13100uFR91MU3A12TL082R310K2865U273LM3114R410KEXT24R10+5V47kRW12kR11R121k-5VJ421CON220kR6100-5VB_ADin_IOA11 图3-10 放大电路

3.5 输出模块

输出电路主要由放大电路组成,完成对单片机最小系统(主控电路)回放波形时输出信号的相应放大。如图3-12所示,它主要有A、B两路构成,分别实现A通道单极性信号的放大(放大倍数可以通过RW1进行调节),B通道双极性信号的放大(放大倍数可以通过RW3进行调节);从而使得A、B通道输出信号从J2输入经A、B路分别放大后从J3、J4还原回放出采集到的波形。

3.6 显示与控制模块

采集和回放时采用十进制数字显示,周期以“ms”为单位,幅度以“mv”为单位。没有要求做人机界面,所以现有常用的LCD1602即可以满足要求,控制部分也只要求几个简单的功能选择,所以显示和控制模块直接到MCU的最小系统即可。如图3-11所示。

图3-11 液晶显示电路

13

台州学院毕业设计(论文)

J24321CON4输入1V峰峰值交流(10KHz)C650p+5V调节电位器输出100mV峰峰值C23RW11k8U1A1R4100J3CON2100uFR21M2LM358R3412输出100mV峰峰值交流+5VC1100uF321CON3C8C4CAP3K-5VJ1输入1V峰峰值交流(10KHz)C3100uF0.1uFC5CAPR81K-5V56U1B7R5100J412R102k4CON2LM358输出8V峰峰值交流C750PRW31KR610k+5VRW21kR91kR710k调节电位器输出8V峰峰值-5V

图3-12 输出电路原理图

3.7 掉电保护电路控制

采用12C串行EEPROM非易失性存储器AT24C512实现掉电存储数据系统掉电恢复后,能连续回放以采集的信号。AT24C512是ATMEL公司生产的64KB串行电可擦的可编程存储器,内部有512页,每一页为128字节,任一单元的地址为16位,地址范围为0000-0FFFH.它采用8引脚封装,具有结构紧凑,存储容量大等特点,可以在2线总线上并接4片芯片,特别适用于具有大容量数据存储要求的数据采集系统,因此在测控系统中被大量采用,如图3-13所示。

14

台州学院毕业设计(论文)

图3-13 掉电保护电路

3.8 电源

除了220V的电源,还选择了LM7805三端稳压器产生一个+5V的电源,其电路如图3-14所示。

图3-14 +5V电源电路

三端固定输出集成稳压器,它是一种串连调整式稳压器。它将全部电路集成在单块硅片上,整个集成稳压电路只有输入、输出和公共三个引出端,使用非常方便。典型的有78××正电压输出系列,79××负电压输出系列。

当输入的电压VI输出电流IO或温度变化时,输出电压VO可保持不变;另外,当输出短路。可使输出电流IO限制为一定值;若稳压器过热,则它就停止工作,以免稳压器遭到损坏。

三端固定输出电压集成稳压器,因内部有过热、过流保护电路,因此它的性能优良、可靠性高。有因这种稳压器具有体积小、使用方便、价格低廉等优点,所以选用了这种芯片。

4.系统软件设计

4.1 软件流程

最终能实现同时采集两路周期信号波形,要求系统断电恢复后,能连续回放已采

15

台州学院毕业设计(论文)

集的信号,显示在LCD上。 a. 按K1按键,依次回放已采集的信号。 b. 按下回放键后,该系统将采样波形进行循环回放(重现);c. 在采集时可改变幅值,并且采集到的数据也会同时在回放的时候变化;d. 在回放时,若按下回放键,将停止波形的回放;若按下采集键,将终止当前波形的回放,并采集新的波形。

主程序循环调用的主要程序有:LCD 显示子程序、按键处理、周期处理、幅度处理、二进制到ASCII码转换、flash存储等程序,当端口上电时,转入相应功能程序,如图4-1所示。

液周 幅峰中断处理 A/D D/A 键盘扫描 系统初始化 开 始 晶 期 度值处处 处处 理 理 理 理 显 示 存储与控制 结束

图4-1 主程序流程图

4.2 功能模块分析

4.2.1 LCD子程序

LCD显示子程序,流程图如图4-2所示。

16

台州学院毕业设计(论文)

开 始

忙查找

写LDE指令

发数据

LCD初始化

显 示

结 束

图4-2 LCD子程序流程图 4.2.2 按键处理子程序

按键子程序:定义IOB3、IOB6分别为通道的采集按键与回放按键;IOB5为选择通道按键,流程图如图4-3所示。

开 始 是 IOB3 IOB5 IOB6 键盘扫描 否 采 键值判断 有键按下? 0 1

哪个键?A路 集 回放 B路 显示 结束 图4-3 按键子程序流程图 17

台州学院毕业设计(论文)

4.2.3 周期处理子程序

周期处理子程序:调用除法程序对输入数据进行运算,再用转换程序将数据转为ASIC代码程序,流程图如图4-4所示。

开 始 是 否 有数据输入?

结 束 图4-4 周期子程序流程图 数据转为ASIC代码程序 调用转换程序 调用除法程序 4.2.4 存储子程序

存储子程序:主要对写入到数据进行存储保存,流程图如图4-5所示。

开 始 否 取字及单写入 否 是 是 有数据输入? 写完了?

写入flash端口字 及控制字 结 束 图4-5 存储子程序流程图

18

台州学院毕业设计(论文)

5. 调试

5.1 测试设备

测试使用仪器与设备如表5-1所示。

表5-1 测试使用仪器与设备

序号 1 2 3 4 名称 两台函数信号发生器 两台双通道模拟示波器 一只数字万用表 10K、1K的电阻各一只 5.2 测试

5.2.1 测试方法

将各部分电路连接起来,对A、B通道进行设计要上的测试。 5.2.2 测试结果

(1)输入、输出阻抗:通过在输入、输出口并上相应电阻观看回放出来的波形幅度变化,从而得出答案输入、输出阻抗分别小于10KΩ、1KΩ电阻,满足题目要求。

(2) A通道输出电压、频率有效值测量:从A输入端输入单极性0~3V、10Hz~10kHz正弦波,经采样、储存再断电后再上电回放的测试数据如表5-2。

表5-2 正弦波测试数据

频率(Hz) 幅度(V) A通道(Hz) 输出 (V) 输出波形 10 3 10 3 一般 50 3 50 3 良好 100 3 99 3.1 良好 1K 3 2K 3 5K 3 8K 3 10K 3 1.95K 1.9K 5.06K 8.05K 10.5K 3.9 良好 3.93 良好 3.1 良好 3.0 一般 3.05 差 测量数据结果显示基本上满足了设计要求 (3)B通道输出电压、频率有效值测量:从B输入端输入双极性、电压峰峰值

19

台州学院毕业设计(论文)

为 100mV、频率为 10Hz~10kHz正弦波,经采样、储存再断电后再上电回放的测试数据如表5-3所示。

表5-3 正弦波测试数据

频率(Hz) 峰值(mV) 10 100 50 100 50.5 99 良好 100 100 100 99.5 良好 1K 100 1K 101 良好 2K 100 2K 101 良好 5K 100 5K 99 良好 8K 100 8K 100.5 一般 10K 100 10.3K 102 差 B通道(Hz) 10.0 输出 (V) 输出波形 100 一般 测量数据结果显示基本上满足了设计要求 (4)A通道输出电压、频率有效值测量:从A输入端输入单极性0~3V、10Hz~2kHz三角波,经采样、储存再断电后再上电回放的测试数据如表5-5所示。

表5-4 三角波测试数据

频率(Hz) 幅度(V) A通道(Hz) 输出 (V) 输出波形 10 3 10 3.0 良好 50 3 50 5 良好 100 3 99 3.1 良好 500 3 399 3.9 良好 800 3 802 3.93 良好 1000 3 999 3.1 良好 1500 3 1501 3.0 良好 2000 3 2002 3.05 一般 测量数据结果显示基本上满足了设计要求 (5)B通道输出电压、频率有效值测量:从B输入端输入双极性、电压峰峰值为 100mV、频率为 10Hz~2kHz三角波,经采样、储存再断电后再上电回放的测试数据如表5-5所示。

表5-5 三角波测试数据

频率(Hz) 峰值(mV) 10 100 50 100 50.5 99.5 良好 100 100 100 99.5 良好 500 100 1K 100 良好 800 100 2K 100.5 良好 1000 100 5K 99 良好 1500 100 8K 100.5 良好 2000 100 10.3K 101 一般 B通道(Hz) 10.0 输出 (V) 100.1 输出波形 良好 测量数据结果显示基本上满足了设计要求 (6)A通道输出电压、频率有效值测量:从A输入端输入单极性0~3V、10Hz ~1kHz

20

台州学院毕业设计(论文)

方波,经采样、储存再断电后再上电回放的测试数据如表5-6所示。

表5-6 方波测试数据

频率(Hz) 幅度(V) A通道(Hz) 输出 (V) 输出波形 10 3 10 3 良好 50 3 50 3 良好 100 3 99 3.02 良好 200 3 199 3.9 良好 300 3 300 3.99 良好 600 3 601 3.05 良好 800 3 8001 3.0 良好 1000 3 1002 3.05 良好 测量数据结果显示基本上满足了设计要求 (7)B通道输出电压、频率有效值测量:从B输入端输入双极性、电压峰峰值为 100mV、频率为 10Hz ~1kHz方波,经采样、储存再断电后再上电回放的测试数据如表5-7所示。

表5-7 方波测试数据

频率(Hz) 峰值(mV) 10 100 50 100 50.5 99.9 良好 100 100 100 99.5 良好 200 100 199 101 良好 300 100 300 101 良好 600 100 600 99 良好 800 100 799 100.5 良好 1000 100 1001 102 良好 B通道(Hz) 10.0 输出 (V) 输出波形 100 良好 测量数据结果显示基本上满足了设计要求 (8)用万用表对系统功耗进行测试,现在待测点测出电压,再串入测的电流由P=IU的系统功耗大于50mW,没有满足设计要求。 5.2.3 误差分析

测量的误差主要来源是程序设计上,由于能力有限,设计程序时计算的精度还不很高而导致。而功耗没有满足设计要求是因为输入和输出电路采用了较多的分离原件,是已牺牲功耗这一项而使的整个系统电路简单。

5.3 调试小结

整个系统在程序设计和调试中遇到的问题比较多,需要一一考虑清楚,采用合理的方法解决。本系统为波形采集、存储与回放系统,程序上需要考虑怎样把输入进来

21

台州学院毕业设计(论文)

的的模拟信号进行采集转换成数字信号后存储起来,后又将数字信号转换成模拟信号回放出来的问题,这是最主要的;模拟设计就是输入、输出电路的设计,输入电路要求单极性A通道输入的3V电压和双极性B通道输入的峰值100mV都转换成约2V再输入到主控电路里进行A/D转换、采集、存储、D/A转换,D/A转换后从主控电路输出再由输出电路进行放大实现回放输出采集进来的信号。由于电路简单,编写程序量非常大。采用仿真和在线调试相结合,最终在老师的指导及同学帮助下基本通过了调试设计,把最难的程序设计完成。

6. 结论

经过测试,系统采集不同种类不同范围的波形,经系统断电恢复后的回放波形与实际的有一点点差距,正弦波差距随着频率的增加差距较为明显。这主要是程序算法不够精确。

本人在这里只是实现了波形采集、存储与回放系统的初步设计,它还可以进行功能的扩展,在已经完成的基础上,提高系统的智能性,使得适用本系统能采集、存储与回放频率更高及更准确的任意波形。

在进行设计过程中我总结出在程序设计中汇编语言和C语言的接口要遵循: (1)必须保存任何被函数修正的专用寄存器。专用寄存器包括:AR6、AR7、AR1以及堆栈指针;

(2)中断程序必须保存它使用的所有寄存器;

(3)常整数和浮点数存储在存储器的方法是最高有效字在低位地址; (3)函数必须按照规定的方法返回值。

下面我将对自己在毕业设计过程中一些印象深刻的东西做些简单的阐述。 (1)分析题目确定设计方案。在分析题目的过程中一定要多查资料多听取老师同学的意见,尽量站在别人的肩膀上少走弯路。对所选的题目也大概有了一些了解,下一步就是在这样一个基础上,综合已有的资料来更透彻的分析题目。分析一下题目属于哪种类型,因为题目类型一般情况下分为两大类:一类是研究型的,即只是理论上的设想和创新,目前几乎没有人研究出可以实用的东西,另一类是应用型的,即可以编写出程序源代码的,能够做出实际的、具体实现的东西,这在各个领域是很有前途的,这类题目也比较多。我的毕业设计的题目就属于后一种了。题目类型确定后,就要仔细

22

台州学院毕业设计(论文)

研究这个题目在哪些方面是还没有完全发挥潜力的,然后确定一个具体的方向,那么就可以动工了。

(2)查阅资料。上网查资料是必不可少的,目前各大搜索引擎都推出有自己特色的搜索服务,一定要找到适合自己的东西。好的开端就相当于成功了一半,因此资料是否全面、是否可靠,关系到整个毕业设计的进程。资料的查找方式有很多种,网上的信息包罗万象,可以通过各个搜索引擎进行搜索,还有老师介绍的专门的论文期刊网,搜索时通过某个关键字段或几个关键字进行搜索,搜索出许多站点然后进行筛选和查询,关键字段的确定很重要,选错了自然白白浪费了时间。我一开始的时候就开始钻牛角尖,吃了很大的亏。搜索了很长时间,也没什么进展,感到非常迷茫、苦恼,心想真是很郁闷我就请教老师和同学,在大家的帮助下,终于使自己从迷茫中走出来。另外,到图书馆、书店、资料室去虽说是比较原始的方式,但也有可取之处的。总之,不管通过哪种方式查的资料都是有利用价值的,要一一记录下来以备后用。

(3)学习的能力。明确了问题并且分析了题目然后就是如何实现的问题了。这个时候学习能力显得特别的重要。如何通过自己的努力把不明白的东西搞明白,这对学习的能力有很高的要求。接着自己开始编写简单的程序来检验一下学习的效果,理论学习和工作实际紧密结合起来,互相参照,学习进展快,掌握牢,可以取得事半功倍的效果。应该根据自己的知识结构和水平确定一个合理的攻关顺序,并大体上拟定一个进度表,根据自己完成的情况进行调整,如果碰到不理解的概念、原理和方法,给学习带来困难,甚至无法做下去的时候,就要和导师进行沟通,也许老师的一句话就会给自己很多的启示。

(4)要实际动手,防止眼高手底。很多事情想起来是一回事真正做起来就不是那么简单了,一定要有恒心,这样才能克服困难,这点我深有体会。在刚开始的一个月里认为毕业设计已经基本结束,因为想的比较简单,而且考虑的不够全面。但是随着工作的进展,很多问题就暴露出来了。软件比想象的要复杂的多,整天编程序搞的头都大了,后来休息调整后才算是顺利的完成了任务。

以上就是我的一些心得,现实比想象的要困难比较多。只有在实际动手的过程中才会学到很多知识。想起了一句话:在实践中检验真理并发展真理。在设计过程中,我通过查阅大量有关资料,与同学交流经验和自学,并向老师请教等方式,使自己学到了不少知识,也经历了不少艰辛,但收获同样巨大。在整个设计过程中我懂得了许

23

台州学院毕业设计(论文)

多东西,也培养了我独立工作的能力,树立了对自己工作能力的信心,相信会对今后的学习工作生活有非常重要的影响。而且大大提高了动手的能力,使我充分体会到了在创造过程中的探索的艰难和成功的喜悦。在这个过程中我学习到了很多东西,这些肯定会伴随我的一生,认识到了自己需要提高的地方还很多,自己还需要用以后的时间来不断学习不断提高。

24

台州学院毕业设计(论文)

参考文献

[1] 刘德营,张志霞,李志伟.单片机原理及接口技术[M].北京: 中国水利水电出版社,2006 [2] 潘新民,王燕芳.微型计算机控制技术[M].北京:电子工业出版社,2003

[3] 宋 浩,田 丰.单片机原理及应用[M].北京:清华大学出版社,北京交通大学出版社,2005 [4] 薛栋梁.51/151/251单片机原理与应用(一)[M].北京:中国水利水电出版社,2001 [5] 薛栋梁.51/151/251单片机原理与应用(二)[M].北京:中国水利水电出版社,2001 [6] 赵继文,何玉彬.传感器与应用电路设计[M].北京:科学出版社,2002 [7] 方佩敏.新编传感器原理?应用?电路详解[M].北京:电子工业出版社,1994 [8] 何立民.单片机应用系统设计[M].北京:北京航空航天大学出版社,2002 [9] 姜德谭,范茂军.新编电子电路大全[M].北京:计量出版社.1985 [10] 丁元杰.单片微机原理及应用[M].北京:机械工业出版社,2003

[11] 何立民.单片机应用技术选编⑤[M].北京:北京航空航天大学出版社.1997 [12] 李广第.单片机基础[M].北京:北京航空航天大学,1999

[13] Adel S.Sedra and Kenneth.Smith:Microelectronic Circuits,4rd ed,Oxford University Press.Inc,

1998.20~58

[14] Adel S.Sedra and Kenneth.Smith:Microelectronic Circuits,4rd ed,Oxford University Press.Inc,

1998.31~98

[15] P.R.Gray and R.G.Meyer,Analysis and Design of Analog Integrated circuits 3rd Edition,John

Wiley&Sons,New York,1993. 97~104

25

台州学院毕业设计(论文)

谢 辞

感谢学校培育之恩,感谢学院提供很好的设计环境,感谢指导老师的精心指导,感谢同学的热心帮助,感谢支持者的鼓励。

在毕业设计完成之际,我在这里向一直关心帮助我的老师、同学和朋友表示我诚挚的谢意。

首先感谢的是我的导师杨金伟老师。感谢他们给我的指导,在整个设计写作过程中,我始终得到杨金伟老师的悉心教导和认真指点,使得我的理论知识很认识有了很大提高,为我的毕业设计的顺利完成提供了保证。他们身上,体现着严谨求实的教学作风,勇于探索的工作态度和求同思变、不断创新的治学理念让我印象深刻。他们不知疲倦的敬业精神和精益求精的治学要求,端正了我的学习态度,使我受益匪浅。

同时,感谢来此参加我毕业答辩的各位老师,感谢你们的指导教育,感谢你们在答辩时对我的论文给予的详尽细致的审阅,衷心的感谢各位老师,谢谢你们无私的帮助。

最后,感谢自动化系和同组的各位同学以及所有支持我关心我的人。在你们的帮助和协助下共同完成了本次设计。谢谢你们!

26

台州学院毕业设计(论文)

谢 辞

感谢学校培育之恩,感谢学院提供很好的设计环境,感谢指导老师的精心指导,感谢同学的热心帮助,感谢支持者的鼓励。

在毕业设计完成之际,我在这里向一直关心帮助我的老师、同学和朋友表示我诚挚的谢意。

首先感谢的是我的导师杨金伟老师。感谢他们给我的指导,在整个设计写作过程中,我始终得到杨金伟老师的悉心教导和认真指点,使得我的理论知识很认识有了很大提高,为我的毕业设计的顺利完成提供了保证。他们身上,体现着严谨求实的教学作风,勇于探索的工作态度和求同思变、不断创新的治学理念让我印象深刻。他们不知疲倦的敬业精神和精益求精的治学要求,端正了我的学习态度,使我受益匪浅。

同时,感谢来此参加我毕业答辩的各位老师,感谢你们的指导教育,感谢你们在答辩时对我的论文给予的详尽细致的审阅,衷心的感谢各位老师,谢谢你们无私的帮助。

最后,感谢自动化系和同组的各位同学以及所有支持我关心我的人。在你们的帮助和协助下共同完成了本次设计。谢谢你们!

26

本文来源:https://www.bwwdw.com/article/whso.html

Top