西安科技大学 EDA实验报告模板

更新时间:2024-05-27 04:06:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

实验一:QuartusII软件的使用

小组成员:闫伟,邵沛,魏家栋

一、实验目的

1、了解和学习Quartus II 5.1软件设计平台。 2、了解EDA的设计过程。

3、通过实例,学习和掌握Quartus II 5.1平台下的文本输入法。

4、学习和掌握D触发器的工作和设计原理。 5、初步掌握该实验的软件仿真过程。 二、实验仪器

PC机,操作系统为Windows2000/XP,本课程所用系统均为WindowsXP(下同),Quartus II 5.1设计平台。 三、实验步骤

1、创建工程,在File菜单中选择New Project Wizard,弹出对话框 如下图所示

在这个窗口中第一行为工程保存路径,第二行为工程名,第三行为顶层文件实体名,和工程名一样。

2、新建设计文本文件,在file中选择new,出现如下对话框:

选择VHDL File 点击OK。

3、文本输入,在文本中输入如下程序代码: library ieee;

Use ieee.std_logic_1164.all; entity men is

port(a,b:in std_logic; c:out std_logic); end men;

architecture rt1 of men is begin

c<=a AND b; end rt1;

然后保存到工程中,结果如下图所示:

4、编译,如果有多个文件要把这个文件设为当前顶层实体,这样软件编译时就只编译这个文件。点击 projet->Set as Top-level EntityCtrl+Shift+J

接下来进行编译,点击processing->Start Compilation ,见下图

5、仿真验证,打开波形编辑器,新建一个波形仿真文件,如下图:

然后选择菜单“View”→ “Utility”→“Node Finder”出现如下对话框,在“Filter”中选择“Pins:all”,再点击“List”即在下边的“Node Found”框中出现本设计项目中所有端口引脚列表,从端口列表中选择所需要的,并逐个拖到波形编辑器窗口中。

接下来编辑输入信号波形,如下图所示:

然后将编辑好的信号波形保存,点击波形仿真,仿真结果如下图所示:

至此,完成了程序的设计和仿真,可以把它生成一个模块符号,以便在以后图

形文件中调用,点击File->Create/Update->Create Symbol Files for Current File,见下图:

四.小结

通过这次上机,我们了解了关于EDA技术软件平台,在quartar软件平台上对一段代码的操作,了解了该软件的基本使用方法及其基本功能,在日后的学习中,我们可以结合该软件,更好的了解和学习该门课程,学会如何运用该软件,在日后的学习中可以结合实践,对VDHL语言有更深刻的了解学习和运用。

本文来源:https://www.bwwdw.com/article/w3p7.html

Top