基于FPGA的DDS波形发生器的设计论文

更新时间:2024-04-12 01:39:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

哈尔滨工业大学华德应用技术学院毕业设计(论文)

摘 要

波形发生器己成为现代测试领域应用最为广泛的通用仪器之一,代表了波形发生器的发展方向。随着科技的发展,对波形发生器各方面的要求越来越高。近年来,直接数字频率合成器(DDS)由于其具有频率分辨率高、频率变换速度快、相位可连续变化等特点,在数字通信系统中已被广泛采用而成为现代频率合成技术中的佼佼者。现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用,由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高波形发生器的性能,降低生产成本。

本文首先介绍了DDS波形发生器的研究背景和DDS的理论。然后详尽地叙述了用FPGA完成DDS模块的设计过程,利用Verilog-HDL硬件描述语言设计DDS波形发生器的各个模块,最后利用Altera的设计工具Quartus II并结合Modelsim软件对波形发生器进行电路设计功能仿真,并对仿真结果进行分析。仿真结果表明,波形发生器可输出正弦波、三角波、方波、锯齿波,并且可通过改变频率控制字和相位控制字的大小来改变输出波形的频率和相位。通过仿真结果表明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA技术实现DDS波形发生器的方法是可行的。

关键词:直接数字频率合成 现场可编程门阵列 波形发生器

-I-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

Abstract

Waveform generator has become a modern field test one of the most widely used general-purpose equipment, on behalf of the waveform generator development. With the development of technology in all aspects of the waveform generators have become increasingly demanding. In recent years, direct digital synthesizers (DDS) has a frequency resolution because of its high-frequency conversion speed, continuous changes in the phase characteristics in digital communication systems have been widely used in modern frequency synthesis technology to become the leader in . Field-programmable gate array (FPGA) design flexibility, high speed, in digital ASIC design has been widely used, due to field-programmable gate array (FPGA) with high integration, high-speed, large capacity memory can be realized functional characteristics, can effectively achieve DDS technology, which greatly improve the performance of waveform generator and reduce production costs. This paper introduces the DDS waveform generator of the research background and DDS theory. Then a detailed account of the completion of DDS module with FPGA design process, using Verilog-HDL Hardware Description Language Design DDS waveform generator for each module and finally the use of Altera's Quartus II design tool in conjunction with Modelsim software waveform generator circuit design features simulation, and simulation results analysis. Simulation results show that the waveform generator can output sine wave, triangle wave, square wave, sawtooth wave, and can be controlled by changing the frequency and phase control words words to change the size of the output waveform of the frequency and phase. The simulation results show that this designed to meet the scheduled requirements and proof of use of hardware and software combination of the use of FPGA technology to achieve DDS waveform generator approach is feasible.

Keywords:DDS FPGA Waveform Generator

-II-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

目 录

摘 要 ....................................................................................... Ⅰ Abstract......................................................................................Ⅱ 第1章 绪论 ................................................................................ 1

1.1 课题背景 ........................................................................ 1 1.2 国内外波形发生器发展现状..…………………………………2

1.2.1 波形发生器的发展现状………………………………….2 1.2.2 国内外波形发生器产品比较....................................4 1.2.3 研究波形发生器的目的及意义…………………………5

1.3本文研究主要内容………………………………………………5 第2章DDS波形发生器理论介绍 .................................................. 6

2.1 频率合成技术 .................................................................. 6

2.1.1频率合成技术的发展和分类…………………………….6 2.1.2频率合成技术的技术指标……………………………….7 2.1.3直接数字频率合成技术的现状及应用…………………8 2.2 DDS的原理及性能特点…………………………………………9 2.2.1 DDS的基本原理....……………………………………….9 2.2.2 DDS的优点....……………………………………………11 2.2.3 DDS的缺点……………………………………………….12 本章小结……………………………………………………………...12 第3章 FPGA及其开发环境简介...................................................13

3.1现场可编程门阵列(FPGA)简介.……………………….…...13 3.2 Quartus II 8.1集成开发环境简介…………………………..…15 3.3 ModelSimHDL语言仿真软件简介…………………………….16 3.4 Verilog-HDL语言简介…………………………………………..17 3.5 FPGA开发流程…………………………………………………..19 本章小结……………………………………………………………….19 第4章DDS波形发生器的FPGA实现..........................................20

4.1 DDS波形发生器的FPGA设计流程…………………………..20 4.2 DDS波形发生器模块划分……………………………………...22

4.2.1 DDS波形发生器顶层模块……………………………….22

-III-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

4.2.2 DDS波形发生器测试模块……………………………..23 4.2.3 DDS波形发生器ROM模块……………………………25 4.3 DDS波形发生器功能仿真…………………………………….25 本章小结.............................................................................27 结 论 ....................................................................................... 28 致 谢 ....................................................................................... 29 参考文献 ................................................................................... 30 附录1 译文 ............................................................................... 31 附录2 英文参考资料 ................................................................. 33

-IV-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

第1章 绪论

1.1 课题背景

直接数字频率合成 (Direct Digital Synthesizer,简称:DDS)技术是一种新的全数字的频率合成原理,它从相位的角度出发直接合成所需波形。这种技术由美国学者J.Tiercy,M.Rader和B.Gold于1971年首次提出,但限于当时的技术和工艺水平,DDS技术仅仅在理论上进行了一些探讨,而没有应用到实际中去。近30年来,随着超大规模集成、现场可编程门阵列(Field Programmable Gate Array,简称:FPGA)、复杂可编程器件(Complex programmable Logic Device,简称:CPLD)等技术的出现以及对DDS理论上的进一步探讨,使得DDS技术得到了飞速的发展。它已广泛应用于通讯、雷达、遥控测试、电子对抗、以及现代化的仪器仪表工业等许多领域。

波形发生器即通常所说的信号发生器是一种常用的信号源,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。不论是在生产还是在科研与教学上,波形发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。而传统波形发生器采用专用芯片,成本高,控制方式不灵活,已经越来越不能满足现代电子测量的需要,正逐步退出历史舞台。可见,为适应现代电子技术的不断发展和市场要求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。基于FPGA的DDS波形发生器,由于可以获得很高的频率稳定度和精确度,同时可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,因此发展非常迅速,尤其是最近随着现代电子技术的不断发展,其应用更是有了质的飞跃。

-1-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

1.2 国内外波形发生器发展现状

1.2.1 波形发生器的发展现状

波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。

在70年代前,信号发生器主要有两类:正弦波和脉冲波,而波形发生器介与两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。

在70年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。

90年代末,出现几种真正高性能、高价格的波形发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8种波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecroy公司生产的型号为9100的任意波形发生器等。

到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz的DDS芯片,同时也推动了波形发生器的发展,2003年,Agilent的产品33220A能够产生17种波形,最高频率可达到20M,2005年的产品N6030A能够产生高达500MHz的频率,采

-2-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

样的频率可达1.25GHz。由上面的产品可以看出,波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

(1)过去由于频率很低应用的范围比较狭小,输出波形频率的提高,使得波形发生器能应用于越来越广的领域。波形发生器软件的开发正使波形数据的输入变得更加方便和容易。波形发生器通常允许用一系列的点、直线和固定的函数段把波形数据存入存储器。同时可以利用一种强有力的数学方程输入方式,复杂的波形可以由几个比较简单的公式复合成v=f(t)形式的波形方程的数学表达式产生。 (2)与总线虚拟仪器系统(VMEe Xtension for Instrumentation,简称:VXI)资源结合。目前,波形发生器由独立的台式仪器和适用于个人计算机的插卡以及新近开发的VXI模块。由于VXI总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用VXI系统测量产生复杂的波形,VXI的系统资源提供了明显的优越性,但由于开发VXI模块的周期长,而且需要专门的VXI机箱的配套使用,使得波形发生器VXI模块仅限于航空、军事及国防等大型领域。在民用方面,VXI模块远远不如台式仪器更为方便。

(3)随着信息技术蓬勃发展,台式仪器在走了一段下坡路之后,又重新繁荣起来。不过现在新的台式仪器的形态,和几年前的己有很大的不同。这些新一代台式仪器具有多种特性,可以执行多种功能。而且外形尺寸与价格,都比过去的类似产品减少了一半。

1.2.2 国内外波形发生器产品比较

早在1978年,由美国Wavetek公司和日本东亚电波工业公司公布了最高取样频率为5MHz,可以形成256点(存储长度)波形数据,垂直分辨率为8bit,主要用于振动、医疗、材料等领域的第一代高性能信号源,经过将近30年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,波形发生器的性能有了飞速的提高。变得操作越来越简单而输出波形的能力越来越强。波形操作方法的好坏,是由波形发生器控制软件质量保证的,编辑功能增加的越多,波形形成的操作性越好。

以下给出了几种波形发生器的性能指标,从中可以看出当今世界上重要电子仪器生产商在波形发生器上的研制水平。

-3-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

1.2.3 研究波形发生器的目的及意义

波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它的应用非常广泛。它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。

目前我国己经开始研制波形发生器,并取得了可喜的成果。但总的来说,我国波形发生器还没有形成真正的产业。就目前国内的成熟产品来看,多为一些PC仪器插卡,独立的仪器和VXI系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。

1.3 本文研究主要内容

本论文的主要内容如下:

1.对DDS的原理、特点及输出特性进行研究、分析; 2.根据DDS原理和特点,利用FPGA开发DDS模块

3.利用Quartus II和ModelSim软件对DDS波形发生器进行功能仿真并对仿真结果进行分析。

4. 本次设计要求利用FPGA设计DDS波形发生器,利用Quartus II和Modelsim软件对波形发生器进行电路设计功能仿真,并对仿真结果进行分析。量化的技术指标:

(1)能够输出典型的方波,三角波,正弦波。 (2)输出量化位数:8位 (3) 输出频率≤2MHz

-4-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

第2章DDS波形发生器理论介绍

2.1 频率合成技术

2.1.1 频率合成技术的发展和分类

频率合成就是以一个或几个参考源为基准,产生多个频率的过程。频率合成技术是近代通信系统的重要组成部分,在无线电技术与电子系统的各个领域中得到广泛的应用。各种新型的频率合成器和频率合成方案还在不断涌现,现在己达到比较成熟的阶段。目前频率合成主要有三种方法:直接模拟合成法 (Direct simulation Frequeneysynthesis)、锁相环合成法(Phase-locked loop Frequeney synthesis即PLL)和直接数字合成法(Direet Digital Frequeney Synthesis)。直接模拟合成法利用倍频(乘法)、分频(除法)、混频(加法与减法)及滤波,从单一或几个参数频率中产生多个所需频率。直接频率合成中,基准信号通过脉冲形成电路,产生谐波丰富的窄脉冲。该方法频率转换时间短(小于IOOns),用这种方法合成的频率范围将受到限制,更重要的是由于采用大量的倍频,混频,分频,滤波等装置,使得频率合成器不仅带来了庞大的体积和重量,而且输出的谐波,噪声及寄生频率都难以抑制,目前己基本不被采用。

锁相环合成法通过锁相环完成频率的加、减、乘、除运算。该方法结构简单、便于集成,且频谱纯度高,目前使用比较广泛,但存在高分辨率和快转换速度之间的矛盾,一般只能用于小步进频率合成技术中。

1972年J.五emey和e.M.几der等人首次提出了DDS的概念,DDS或DDFS是Direct Digital Frequency synthesis的简称通常将此视为第三代频率合成技术。它突破了前两种频率合成法的原理,从“相位”的概念出发进行频率合成。这种方法不仅可以产生不同频率的正弦波,而且可以控制波形的初始相位,还可以用DDS方法产生任意波形,它是把一系列数字量形式的信号通过DAC转换成模拟量形式的信号的合成技术。目前使用最广泛的一种DDS方式是利用

-5-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

高速存储器作查寻表,然后通过高速 DAC产生已经用数字形式存入的正弦波。DDS作为一种先进的信号产生技术己经广泛应用于各个领域,如信号源仪器,测量分析仪器,通讯,数字信号处理,工业控制,软件无线电等。

通过回顾频率合成技术的发展,我们可以总结出各自的性能特点。直接式频率合成的输出信号有相干和非相干两种,可达微秒、亚微秒级的频率切换速度直接式频率合成技术的主要特色,相噪低也是它的优点。但直接式频率合成器电路结构复杂,体积大,成本较高,研制调试一般比较困难,由于采用了大量的混频、滤波电路,直接式频综很难抑制因非线性而引入的杂波干扰,因而难以达到较高的杂波抑制度。PLL频率合成利用了相位反馈控制原理来稳频,在对频率切速度要求不高,但对相噪、杂散有较高要求时,PLL频率合成仪81有特殊的优势。PLL式频综输出的频率分辨率越高时,其频率切换速度就越慢。如果要提高切换速度就必须牺牲分辨率,这是PLL的工作机理所致,无法通过性能优化来解决。DDS的全数字结构给频率合成领域注入了新的活力,但也正是全数字结构使DDS有两点不足:输出带宽较窄和杂散抑制较差。由于受数字器件工作速度的限制,特别是数/模转换器DAC的限制,使得DDS工作的时钟频率较低,输出带宽窄。

随着电子技术的发展,各类电子系统对信号源的要求越来越高,需要同时满足低相噪、快速变频、高频率分辨率、宽带、小体积、低功耗等指标。由上面分析可知,虽然这三种频率合成方式都可以在某些指标上获得理想的效果,但没有一种方式可以满足所有的技术要求。实际上,由于三种方式各有优劣,完全可以利用优势互补,所以产生了混合式频率合成技术。其中DDS与PLL频率合成混合应用最为广泛,基本原理就是利用DDS的输出作为PLL的参考输入,来解决频率分辨率和相噪的矛盾。但是PLL在频率转换时需要一定的捕获时间,这个捕获时间与环路的类型、参数和跳频的步长有关。所以DDS+PLL式频综的频率切换时间取决于PLL。然后通过高速DAC产生已经用数字形式存入的正弦波。DDS作为一种先进的信号产生技术已经广泛应用于各个领域,如信号源仪器,测量分析仪器,通讯,数字信号处理,工业控制,软件无线电等。

-6-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

2.1.2 频率合成技术的技术指标

所谓频率合成技术是指以一个或者多个高精确度和高稳定度的频率参考信号源为基准,在某一频段内,综合产生多个工作频率点的技术。频率合成技术是产生频率源的一种现代化手段,在通信、雷达、导航、广播电视、电子侦察、电子干扰与反干扰及现代仪器仪表中有着广泛的应用。依据频率合成原理制成的频率源称为频率合成器。对频率合成器的基本要求是既要合成所需频率,又要保证信号的纯净。综合来看,衡量频率合成器的主要性能指标有: (1)输出频率范围

指的是输出的最小频率和最大频率之间的变化范围。

(2)频率稳定度

频率稳定度是指在规定的时间间隔内,频率合成器的实际输出频率与频率标定值偏差的数值,可分为长期、短期和瞬时稳定度。 (3)频率分辨率

频率合成器的输出频谱通常是不连续的。频率分辨率指两个输出频率之间的最小间隔。 (4)频率切换时间

频率切换时间指频率合成器输出频率由一个频率点切换到另一个频率点并达到稳定工作所需的时间。该指标与频率合成所采用的技术紧密关联。 (5)频谱纯度

频率合成技术中常常提到的一个指标就是频谱纯度,频谱纯度以杂散分量和相位噪声来衡量。杂散又称寄生信号,分为谐波分量和非谐波分量,主要由频率合成过程中的非线性失真产生,也有频率合成器内外干扰的影响,还与频率合成方式有关;相位噪声是瞬间频率稳定度的频域表示,在频谱上表现为主谱两边连续噪声边带。频谱纯度是衡量频率合成器质量的一个重要指标。

(6)调制性能

调制性能是指频率合成器的输出是否具有调幅、调频、调相、幅移键控、频移键控、相移键控、扫频、猝发等功能。

-7-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

2.1.3 直接数字频率合成技术的现状及应用

DDS不仅可以产生正弦波同时也可以产生任意波,这是其他频率合成方式所没有的。任意波在各个领域特别是在测量测试领域有着广泛的应用。通过DDS这种方法产生任意波是一种简单、低成本的方法,通过增加波形点数可以使输出达到很高的精度,这都是其他方法所无法比拟的。

自80年代以来各国都在研制DDS产品,并广泛的应用于各个领域。其中以AD公司的产品比较有代表性。如AD7008、AD9850、AD9854、AD9852、AD9858等。其系统时钟频率从3OMHz到300MHz不等,其中的AD9858系统时钟更是达到了1GHz。这些芯片还具有调制功能。如AD70OS可以产生正交调制信号,而AD9852也可以产生FSK、PSK、线性调频以及幅度调制的信号。这些芯片集成度高内部都集成了D/A转换器,精度最高可达12bit。同时都采用了一些优化设计来提高性能。如这些芯片中大多采用了流水技术,通过流水技术的使用,提高了相位累加器的工作频率,从而使得DDS芯片的输出频率可以进一步提高。通过运用流水技术在保证相位累加器工作频率的前提下,相位累加器的字长可以设计得更长,如AD9852的相位累加器达到了48位。而不是之前型号的32位,这样输出信号的频率分辨率大大提高了。同时为了抑止杂散,这些芯片大多采用了随机抖动法提高无杂散动态范围(这是由于DDS的周期性,输出杂散频谱往往表现为离散谱线,随机抖动技术使离散谱线均匀化,从而提高输出频谱的无杂散动态范围)。

运用DDS技术生产的DDS任意波型信号发生器是较新的一类信号源,并且已经广泛投入使用。它不仅能产生传统函数信号发生器能产生的正弦波、方波、三角波、锯齿波,还可以产生任意编辑的波形。由于DDS的自身特点,还可以很容易的产生一些数字调制信号,如FSK、PSK等。一些高端的信号发生器甚至可以产生通讯信 号。同时输出波形的频率分辨率、频率精度等指标也有很大的提高。如HP公司的HP33120可以产生10mHz一15MHz的正弦波和方波。同时还可以产生10mHz一5MHz的任意波形。任意波形深度16000点。采样率40M,还具备了调制功能,可以产生AM、FM、FsK、碎发、扫频等信号。HP公司的HP33250可以产生1uHZ一80MHz的

-8-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

正弦波和方波,产生luHz到25MHz的任意波形,任意波形深度64K点,采样率200M。同时也具备了AM、FM、FSK、碎发、扫频等功能。BK PRECISION公司的407OA型函数级任意波形发生器正弦波和方波输出频率DC一 21.SMHz频率分辨率10mHz。同时还具有AM、FM、PM、SSB、BPSK、FSK、碎发、 DTMFGeneration和DTMFDeteetion的功能。

除了在仪器中的应用外,DDS在通信系统和雷达系统中也有很重要的用途。通过DDS可以比较容易的产生一些通信中常用的调制信号如:频移键控(FSK)、二进制相移键控(BPsK)和正交相移键控(QPSK)。DDS可以产生两路相位严格正交的信号,在正交调制和解调中的到广泛应用,是一中很好的本振源。

在雷达中通过DDS和PLL相结合可以产生毫米波线性调频信号,DDS移相精度高、频率捷变快和发射波形可捷变等优点在雷达系统中也可以得到很好的发挥。

2.2 DDS的原理及性能特点

2.2.1 DDS的基本原理

直接数字式频率合成(DDS)技术是近年来随着数字集成电路和微电子技术的发展而迅速发展起来的一种新的频率合成技术。DDS一般由相位累加器、波形存储器、数模转换器及低通滤波器组成,结构框图如下图2-1所示。其基本原理就是将波形数据先存储起来,然后在频率控制字K的作用下,通过相位累加器从存储器中读出波形数据,最后经过数/模转换和低通滤波后输出频率合成。这种频率合成方法可以获得高精度频率和相位分辨率、快速频率转换时间和低相位噪声的频率信号,而且结构简单集成度高。

-9-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

频率控制字K D/A相位累加器 波形存储器 转换器 低通滤波器 基准时钟CLK

图2-1: DDS基本原理框图

相位累加器由N位加法器与N位累加寄存器级联构成,结构如图2-2所示。每来一个时钟脉冲,加法器就将频率控制字K与累加器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟脉冲作用下,不断地对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器溢出的频率就是DDS的输出的信号频率。用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值的转换。

由于DDS的模块化结构,其输出波形由波形查找表中的数据来决定,因此,只需改变查找表中的数据,就能很方便地利用DDS产生正弦波、方波、三角波等任意波形。 N 频率控制字K N位累加器

N N位寄存器

图2-2 DDS相位累加器

-10-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

2.2.2 DDS的优点

(l)输出频率相对带宽较宽

输出频率带宽为50%fs(理论值),但考虑到低通滤波器的特性和设计难度以及对输出信号的散杂抑制,实际输出带宽仍可达到40%fs。 (2)频率转换时间短

DDS是一个开环系统,无任何反馈环节这种结构使得DDS的频率转换时间极短。事实上,在DDS频率控制字改变之后,需经过一个时钟周期后按照新的相位增量累加,才能实现频率的转换。因此,频率时间等于频率控制字的传输时间,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS的转换时间可达纳微秒级数量级,比使用其他的频率合成方法都要短数个数量级。 (3)频率分辨率高

若时钟fs的频率不变,DDS的频率分辨率就是由相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多小于1mHz甚至更小。

(4)相位变化连续

改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续。 (5)输出波形的灵活性

只要在DDS内部加上相应控制如调频控制FM,调相控制PM和调幅控制AM即可以方便灵活实现调频,调频和调幅等功能,产生FSK,PSK,ASK,MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现各种波形的输出,如三角波,锯齿波和矩形波甚至是任意波形。当DDS的波形存储器分别存放正弦和余弦函数表时,即可得到正交的两路输出。

(6)其他优点

由于DDS中几乎所有部件都属于数字电路,易于集成,功耗低,体积小,重量轻,可靠性高,且易于程控,使用相当灵活,因此性价比极高。

-11-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

2.2.3 DDS的缺点

(l)输出带宽范围有限

由于DDS内部DAC和波形存储器(ROM)的工作速度有限,使得DDS输出的最高频率有限。目前市场上采用CMOS,TTL,EcL,工艺制作的DDS芯片,工作频率一般在几十MHz至400MHz左右。采用GaAS工艺的DDS芯片工作频率可达2GHz以上。 (2)输出散杂大

由于DDS采用全数字结构,不可避免地引入了散杂。其来源主要由三个:相

位累加器相位舍入误差造成的散杂;幅度量化误差造成的散杂和 DAC非理想特性造成的散杂。

本章小结

本章首先介绍了频率合成技术的发展和分类以及频率合成技术的技术指标,接着介绍了直接数字频率合成技术的现状及应用,紧接着详细地介绍了DDS的工作原理和各主要组成部分的功能与基本结构。最后介绍了DDS的优缺点。

-12-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

第3章 FPGA及其开发环境简介

3.1 现场可编程门阵列(FPGA)简介

FPGA(Field Programmable Gate Array)即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA的使用非常灵活,同一片FPGA通过不同的编程数据可以产生不同的电路功能。FPGA在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域得到了广泛应用。随着功耗和成本的进一步降低,FPGA还将进入更多的应用领域。

FPGA的基本组成部分有可编程输入/输出单元、基本可编程逻辑单元、嵌入式RAM块、丰富的布线资源、底层嵌入功能单元等。

(1)可编程输入输出单元

可编程输入输出单元(IOE)是芯片和外界电路的接口部分,完成不同电气特性下对输入/输出信号的驱动与匹配需要。为了使FPGA有更灵活的应用,目前大多数FPGA的I/O单元被设计成可编程模式,通过软件的灵活配置,可以适配不同的电气标准和物理特性,调整匹配阻抗特性、上下拉电阻、输出驱动电流大小等。一般来说,FPGA支持的常见电气标准有LVTTL、LVCOMS、SSTL、HSTL、LVDS、LVPECL和PCI等。

(2)基本可编程逻辑单元

基本可编程逻辑单元(LE)是可编程逻辑器件的主体,可以根据设计灵活地改变其内部连接与配置,完成不同的逻辑功能。每个LE包含了一个4输入的查找表(LUT)、一个带有同步使能的可编程触发器、一个进位链和一个级联链。查找表完成纯组合逻辑功能;寄存器配置相当灵活,可配置为带同/异步复位/置位、时钟使能的触发器或者配置为锁存器。

(3)嵌入式RAM块

大多数FPGA都有内嵌的块RAM(Block RAM)。FPGA内部嵌入可编程

-13-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

RAM模块,大大地拓展了FPGA的应用范围和使用灵活性。在本文中实现的过程中,块RAM是设计不可或缺的资源,内部RAM的使用节省了片外器件,从而节省了系统成本。FPGA内嵌的块RAM一般可以灵活配置为单端口RAM(Single Port RAM)、双端口RAM(Double Ports RAM)、伪双端口RAM(Pseudo DPRAM)、CAM(Content Adderssable Memory)、FIFO(First In First Out)等常用存储结构。

(4)布线资源

布线资源连通FPGA内部所有单元,连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。FPGA内部有着非常丰富的布线资源,这些布线资源根据工艺、长度、宽度和分布位置的不同而不同的等级,有一些是全局性的专用布线资源,用以完成器件内部的全局时钟和全局复位/置位的布线;一些叫做长线资源,用以完成器件Bank间的一些高速信号和一些第二全局时钟信号的布线,也称为Low Skew信号的布线;还有一些叫做短线资源,用以完成基本逻辑单元之间的逻辑互联与布线。

(5)底层嵌入功能单元

这里所说的底层嵌入功能单元指的是那些通用程度较高的嵌入式功能模块,比如PLL、DSP、CPU等,随着FPGA的发展,这些功能模块被越来越多的嵌入到FPGA内部,以满足不同场合的要求。在本设计中,选用的FPGA是Altera公司的Cyclone II系列的EP2C5Q208。Cyclone II是Altera公司Cyclone系列的第二代产品,由于采用了低K介质的90nm工艺制造,从而将产品成本降低30%,同时将逻辑密度提升3倍。另外,CycloneII系列的FPGA采用了1.2V的内核电压,将功耗降到了非常低的范围。EP2C5提供的硬件资源包括了:4608个可编程逻辑单元;26个M4K RAM块,总比特数达119,808bits,可以配置成真正双端口模式,最高工频率为260MHz;13个18位×18位的嵌入式硬件乘法器,每个乘法器可配置成两个9位×9位的乘法器,硬件乘法器的最高工作频率可达250MHz;2个PLL;最多用户管脚数为142个,这些管脚可配置成58对差分通道。这些丰富的硬件资源为我们实现DDS 提供了便利。

FPGA的基本特点主要有:

(1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到

-14-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

合用的芯片。

(2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 (3)FPGA内部有丰富的触发器和I/O引脚。

(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 (5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

3.2 Quartus II 8.1集成开发环境

Quartus II软件是Altera的综合开发工具,它集成了Altera的FPGA/CPLD开发流程中所涉及的所有工具和第三方软件接口。Quartus II8.1版本几乎支持Altera现行的所有FPGA,在该集成开发环境中可以实现电路的设计、综合、适配到最后形成下载文件以及在线配置FPGA,还能对电路进行功能仿真,对适配后形成的最终电路进行时序仿真。也就是说只要有了Quartus II这个集成开发环境,就基本上可以完成Altera公司FPGA开发过程中的所有工作。另外,为了方便设计,Quartus II还提供了免费LPM模块供用户调用,如计数器、存储器、加法器、乘法器等。除了这些免费的LPM模块外,Altera公司还开发了有偿IP核提供给有需要的用户使用。这些LPM模块和IP核都大大简化了设计过程,缩短了开发周期。Quartus II 8.1支持多种输入方式,常用的有:

(1)原理图输入:这种方法最直观,适合顶层电路的设计;

-15-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

(2)硬件描述语言输入:包括AHDL、VHDL及Verilog HDL输入。采用硬件描述语言的优点易于使用自顶向下的设计方法、易于模块规划和复用、移植性强、通用性好。

(3)网表输入:对于在其他软件系统上设计的电路,可以采用这种设计方法,而不必重新输入,Quartus II支持的网表文件包括EDIF、VHDL及Verilog等格式。这种方法的优点是可以充分利用现有的设计资源。在本章的设计中,采用的是VHDL硬件描述语言与原理图输入相结合的方式。

一般来说,完整的FPGA设计流程包括电路设计与输入、功能仿真、综合、综合后仿真、实现、布线后仿真与验证、板级仿真验证与调试等主要步骤。

3.3 ModelSimHDL语言仿真软件简介

Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。 主要特点:

RTL和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真;单内核VHDL和Verilog混合仿真;源代码模版和助手,项目管理;集成了性能分析、波形比较、代码覆盖、数据流ChaseX、Signal Spy、虚拟对象Virtual Object、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能;C和Tcl/Tk接口,C调试;对SystemC的直接支持,和HDL任意混合;支持SystemVerilog的设计功能;对系统级描述语言的最全面支持,SystemVerilog, SystemC, PSL。

ModelSim分几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本,而集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。SE版和OEM版在功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000

-16-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

行的设计,ModelSim SE 比ModelSim XE要快10倍;对于代码超过40000行的设计,ModelSim SE要比ModelSim XE快近40倍。ModelSim SE支持PC、UNIX和LINUX混合平台;提供全面完善以及高性能的验证功能;全面支持业界广泛的标准;Mentor Graphics公司提供业界最好的技术支持与服务。

ModelSim的使用方法:

1. 在D盘建立一个目录DDS。用任何文本编辑器编辑一个简单的例子程序,比如计数器counter.v。有clk、ena、reset输入,cnt作为输出。

2. 打开Modelsim,首先create project,工程名随意取了,比如命名为test,目录设在modelsimStudy下,默认的库work不变(这样就不用管什么建立库之类的东西了)。然后add existing file,把counter.v加入当前工程。

3. 编译这个文件,通过之后,work下面会出现counter这个实体。然后选中它,右键,simulate(左键双击也可)。

4. ModelSim有很多窗口,一开始只要选择view下面的 objects 和 wave窗口就行了。旧版的signal窗口在6.0的版本改名为Objects。wave窗口刚打开时是空的,需要在objects窗口的 add -> wave -> signals in region。这时,wave上就有clk\\ ena \\ reset等信号了。

5. 我们给clk来个输入激励,在object窗口中选中clk,右键选clock,给它定义个时钟。

3.4 Verilog-HDL语言简介

硬件描述语言HDL是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。它可以使数字逻辑电路设计者利用这种语言来描述自己的设计思想,然后利用电子设计自动化(EDA)工具进行仿真,自动综合到门级电路,再利用ASIC或FPGA实现其具体功能。在硬件描述语言出现之前,已经有很多成功的软件设计语言,比如 :Fortran、Pascal和C等,为什么不用这些语言描述硬件?因为这些软件设计语言较合适描述顺序执行的程序,却难以描述硬件的并发行为;软件设计语言中没有时序概念,难以描述信号间的时序关系。硬件描述语言自出现起,发展非常迅速,已经成功应用在数字

-17-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

逻辑设计的各个阶段,包括设计、仿真、验证、综合等,它们对设计自动化起到了极大的推动作用。 Verilog-HDL语言是硬件描述语言中的一种,它是在 1983年由Gat way Design Automation公司的 philMoothy首创。在 1984年一 1985年,Moorby设计出第一个关于Verilog一XL的仿真器, 1986年,他对 VerilogHDL的发展又做出了另一个巨大的贡献,即提出了用于快速门级仿真的XL算法,使仿真速度有了很大提高。随着这种仿真器的流行, verilog-HDL语言得到迅速发展。 1989年,Cadence公司收够了Gate Way公司, Verilog-HDL语一言成为Cadenee公司的私有财产。由于Verilog私有性,妨碍了使用者之间的交流与共享,为与vHDL语言竞争,1990年,Cadenee公司决定公开 verilog-HDL语一言。基于 verilog-HDL的优越性,IEEE于 1995年制定了 VerilogHDL的IEEE标准,即verilog-HDL1364一1995。采用Verilog语言设计的优点有以下几点:

(l)作为一种通用的硬件描述语言,Verilog易学易用,因为在语法上它与C语一言非常类似,有C语言编程经验的人很容易发现这一点。

(2)同一个设计,Verilog语一言允许设计者在不同层次上进行抽象。verilog语言中提供开关级、门级、RTL级和行为级支持,一个设计可以先用行为级语法描述它的算法,仿真通过后,再用RTL级描述,得到可综合的代码。

(3)Verilog语言支持广泛,基本上所有流行的综合器、仿真器都支持Verilog。

(4)所有的后端生产厂商都提供verilog的库支持,这样在制造芯片时,可以有更多的选择。

(5)能够描述层次设计,可使用模块实例结构描述任何层次,模块的规模可以是任意的,语言对此没有任何限制。

(6)Verilog-HDL语言的描述能力可以通过使用编程语言接口(PLI)机制进一步扩展。PLI允许外部函数访问verilog模块内部信息、允许设计者通过软件程序与仿真器进行交互。

(7)Verilog语言对仿真提供强大的支持,虽然现在出现了专门的用于验证的语言,但用verilog语言直接对设计进行测试任然是大部分工程师的首选。

-18-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

3.5 FPGA开发流程

FPGA开发一般流程:

1、电路的设计与输入(HDL描述和原理如图输入方法)

2、功能仿真(前仿真:检查所设计的电路是否满足预先设想的功能需求)

3、综合优化(把RTL级描述和原理图描述变成门级网表描述) 4、综合后仿真(检查综合后的结果是否和预想的设计一致) 5、布局布线 (布局:把门级网表中各个基本硬件单元适配到FPGA具体的硬件电路上 ;根据布局的拓扑结构和FPGA的连线资源,把这些基本硬件单元合理的连接起来)

6、时序仿真与验证(后仿真:包含门延迟和传输线的延迟,能真是反应FPGA的实际工作情况,确保设计的稳定性和可靠性)

7、调试与加载配置

以上的任何一步出现问题,都要回到相应的步骤进行重新设计,知道满足要求为止。下图所示为FPGA开发流程图。

本章小结

本章首先介绍了现场可编程门阵列FPGA的发展史,接着介绍了FPGA开发环境Quartus II 8.1以及HDL语言仿真软件ModelSim的使用和优点,紧接着介绍了Verilog-HDL硬件描述语言的发展和优点,最后在此基础上介绍了FPGA的设计开发流程。

-19-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

第4章 DDS波形发生器的FPGA实现

早期的DDS系统使用分离的数字器件搭接,随着整个电路系统运行频率的升高,采用分离器件构建的DDS电路有其自身无法克服的缺点,主要表现在电磁兼容和系统工作频率上。后来出现的专用DDS芯片极大的推动了DDS技术的发展,但专用DDS芯片价格昂贵,且无法实现任意波形输出,近来,CPLD及FPGA的发展为实现DDS提供了更好的技术手段。FPGA的应用不仅使得数字电路系统的设计非常方便,并且还大大缩短了系统研制的周期,缩小了数字电路系统的体积和所用芯片的品种。而且它的时钟频率已可达到几百兆赫兹,加上它的灵活性和高可靠性,非常适合用于实现波形发生器的数字电路部分。用FPGA设计DDs电路比采用专用DDS芯片更为灵活。因为,只要改变FPGA中的ROM数据,DDs就可以产生任意波形,因而具有相当大的灵活性。相比之下FPGA的功能完全取决于设计需求,可以复杂也可以简单,而且FPGA芯片还支持在系统现场升级,虽然在精度和速度上略有不足,但也能基本满足绝大多数系统的使用要求。另外,将DDS设计嵌入到FPGA芯片所构成的系统中,其系统成本并不会增加多少,而购买专用芯片的价格则是前者的很多倍。因此,采用FPGA来设计DDS系统具有很高的性价比。

4.1 DDS波形发生器的FPGA设计流程

用FPGA可以非常方便的实现DDS系统的数字电路环节,且可现场编程进行电路的修改。在DDS系统中,FPGA的主要完成:(l)保存频率字;(2)保存相位字;(3)构成相位累加器,产生波形ROM的地址;(4)形成波形ROM。

下图3—1为利用FPGA设计DDS波形发生器的结构框图。该系统可实现标准的方波、三角波和正弦波输出。其中相位累加器是一个带有累加功能的加法器,它以设定的频率控制字作为步长来进行加法运算,当其和满时清零,并进行重新运算,相位寄存器它主要作用是接受发送来的相位控制字数据并进行寄存,当下一个时钟到来时,输入寄存的数据,对输出波形的频率和相位进行控制。波形

-20-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

存储器是DDS的关键部分,设计时首先需要对时域波形进行采样,将采样的波形数据储存到波形存储器ROM中,每一个地址对应一个波形点的数值。整个系统各模块实在基准时钟信号CLK的控制下协调工作的。

基准时钟CLK

频率控制字 频率字 寄存器 频率字 累加器 寻址 累加器 波形 存储器 相位控制字 相位字 寄存器 波形控制字 控制字 寄存器

图4-1 DDS波形发生器的结构框图

-21-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

4.2 DDS波形发生器模块划分

4.2.1 DDS波形发生器顶层模块

module dds( Clk, Rst_n, En, Lock, phase, K, data_sin, ); input Clk; input Rst_n; input En; input Lock; input [7:0] K; input [7:0] phase; output [31:0] data_sin; reg [8:0] addr; reg [7:0] K_buf; wire [8:0] addr_t;

always@(posedge Clk or negedge Rst_n) begin end

always@(posedge Clk or negedge Rst_n) begin if(~Rst_n)

-22-

if(~Rst_n)

K_buf <= 0; K_buf <= K; else if(Lock)

哈尔滨工业大学华德应用技术学院毕业设计(论文)

addr <= 0; else if(En)

addr <= addr + K_buf; end

assign addr_t = addr + phase; sin_rom uut1(

.addr(addr_t), .En(En),

.data_o(data_sin) ); endmodule

4.2.2 DDS波形发生器测试模块

module dds_tb(); reg Clk; reg Rst_n; reg Lock; reg En; reg [7:0] K; reg [7:0] phase; wire [31:0] data_sin; wire [31:0] data_saw; dds uut1(

.Clk(Clk), .Rst_n(Rst_n), .Lock(Lock), .phase(phase), .En(En), .K(K),

.data_sin(data_sin), ); initial begin

-23-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

Clk = 0; Rst_n = 1; En = 0; phase = 0; #10 Rst_n = 0;

@(negedge Clk); @(negedge Clk) Rst_n = 1; @(negedge Clk) Lock = 1; K = 1;

@(negedge Clk) Lock = 0; @(negedge Clk) En = 1; #30000

@(negedge Clk) phase = 200; #30000

@(negedge Clk) Lock = 1; K = 10;

@(negedge Clk) Lock = 0; #30000 $stop; end

always #5 Clk = ~Clk; endmodule

-24-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

4.2.3 DDS波形发生器ROM模块

module sin_rom( addr, En, data_o ); input [8:0] addr; input En; output [31:0] data_o; reg [31:0] mem[0:511]; initial begin end

assign data_o = En ? mem[addr] : 0; endmodule

$readmemh(\

4.3 DDS波形发生器功能仿真

DDS波形发生器各个模块设计好以后,利用Modelsim软件进行功能仿真,得到4种波形功能仿真波形图如图4-2、4-3、4-4和4-5所示。

图4-2正弦波的Modelsim功能仿真波形图

-25-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

图 4-3方波的Modelsim功能仿真波形图

图 4-4三角波的Modelsim功能仿真波形图

-26-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

图 4-5锯齿波的Modelsim功能仿真波形图

以上图中K为频率控制字,phase 为相位控制字,波形的频率随着频率控制字K的改变而改变,波形的相位随着相位控制字phase的改变而改变。

本章小结

本章首先介绍了DDS波形发生器各个模块的程序代码,接着用Modelsim软件对DDS波形发生器进行了功能仿真,得到了正弦波、方波、三角波和锯齿波四种波形,并对仿真结果进行了分析。

-27-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

结 论

本文结合DDS波形发生器的设计和实现,从理论和实际两个方面,对数字直接频率合成技术进行了研究。经过三个多月的研究,完成了预定的设计任务,在对DDS波形发生器的研究中,本设计主要工作及成果如下所示:

1.分析了频率合成技术的基本问题,介绍了各种传统的频率合成技术。并重点介绍了直接数字频率合成技术;

2.研究了基于DDS原理利用FPGA的具体实现波形发生器的设计方法,并完成了设计任务;

3.通过硬件编程方式完成了FPGA芯片的DDS功能设计; 4.完成波形发生器的参数测试及功能验证。

本设计完成了预定的所有功能,但由于时间仓促,本设计还有许多需要完善和改进的地方。下面列出几条改进意见:

1.增加查找表的深度,这样可以增加输出波形频率范围:提高频率分辨率;能够采集频率更高的波形,减少波形再生误差和杂散噪声; 2.本设计中能方便用户控制的波形种类有限,对于任意波形的输入控制,可利用现有的FPGA中的ROM资源来存储波形周期数据,另外还可利用内部资源来创建RAM来存储波形,,并且借助编程语言编写软件,利用单片机的串口与上位机通信来实现任意波形的周期数据送入FPGA。

所以,本设计的各项功能指标还有待完善。但是,通过实验证明了本设计的设计思想和设计方法是切实可行的。尤其是采用软硬件编程相结合以及加深FPGA部分的设计方法无疑是本设计的一大特点,值得进一步探索和研究。

-28-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

致 谢

四年的大学生活很快就要结束了,在四年当中我取得的所有成绩和收获都离不开那些曾经给予我指导和帮助的人们。在此,谨向所有关心我学业的老师、同学、家人和朋友表示衷心的感谢。

本次毕业设计能够顺利完成,与系里诸位老师的帮助是分不开的,在此,我要表示深深的感谢。感谢学校给我们提供了这么好的一个实践的机会,感谢各位老师为我们的毕业设计所提供的方便,如果没有你们的帮助和耐心指导,我们的毕业设计不会如此顺利完成的。

此外,我要特别感谢我的指导教师王暕来老师,感谢王老师对我的细心指导,王老师的热情帮助和耐心指导是本次毕业设计得以顺利完成的基础,王老师不仅以他渊博的学识、敏锐超前的学术意识使我在学术方面受益匪浅,而且,他谦逊待人、严谨治学的作风以及对工作认真负责的态度还给我树立了做人的榜样。这是我从王老师身上学到的极其珍贵的一点,我相信这将使我受益终身。 最后衷心感谢我的父母和亲人,是他们多年来在我求学的道路上给予我始终如一的关心和支持,使我充满信心的完成了学业。在未来的工作中,我一定不辜负这些曾经给予我关心和帮助的师长、父母和家人的殷切期望,尽职尽责地做好我的工作!

-29-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

参考文献

[1] 郭军朝,直接数字频率合成研究及其FPGA实现:[硕士论文],

上海:上海交通大学微电子学与固体电子学专业,2004

[2] 张厥胜、曹丽娜,锁相与频率合成技术,成都:电子科技大学

出版社,1995

[3] 姜萍、王建新、吉训生,FPGA实现的直接数字频率合成器,电

子技术应用,28(5):43-44,2002 [4] 潘志浪,基于FPGA的DDS信号源设计:[硕士论文],武汉:

武汉理工大学通信与信息系统专业,2007

[5] 潘景良,程控任意波形功率驱动电源的研制:[硕士论文],南京:

南京理工大学测试计量技术及仪器专业,2004

[6] Saul PH, Direct frequency synthesis-a review of techniques and

potential, IEEE 15th International Conference on Radio Receivers and Associated System Landom,UK,1900,5-9

[7] 姜雪松、张海风,可编程逻辑器件和EDA设计技术,北京:机

械工业出版社,2006

[8] 王城、吴继华等,Altera FPGA/CPLD设计(基础篇),北京:人民邮电出版社,2005

[9] 谢亮,基于FPGA的DDS实现的几种方式,科技广场,(8):

105-106,2006

[10] 王杰、马玲、刘苇娜、王子旭,基于DSP Builder的DDS设计

及其FPGA实现,现代电子技术,29(14):11-13,2006

[11] 卢青、丁恩杰、张余锋,DSP Builder在基于FPGA的DSP设

计中的应用,工矿自动化,(z1):99-101,2005

[12] 张海亮、赵行波、王亮、周祖成,基于FPGA的可配置通行平

台设计,微计算机信息,22(11-2):192-194,200,2006 [13] 赖昭胜、管立新,基于DSP Builder的DDS实现及其应用,微

计算机信息,22(11-2):186-188,2006

[14] 罗韩军、刘明伟、林亚风,基于DSP Builder的DDS设计与实

现,电子技术,31(17):148-150,2008

[15] 于枫、张丽英、廖宗建,ALTERA可编程逻辑器件应用技术,

北京:科学出版社,2004

-30-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

附录1 译文

【DDS波形发生器】 DDS的基本工作原理:

直接数字频率合成是采用数字化技术,通过控制相位的变化速度,直接产生各种不同频率信号的一种频率合成方法。DDS的基本结构如图1所示,它主要由相位累加器、正弦ROM表、D/A转换器和低通滤波器构成。

参考时钟fr由一个稳定的晶体振荡器产生。相位累加器由N位加法器与N位相位寄存器级联构成,类似于一个简单的加法器。每来一个时钟脉冲,加法器将频率控制数据与相位寄存器输出的累积相位数据相加,把相加后的结果送至相位寄存器的数据输入端。相位寄存器将加法器在上一个时钟作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟的作用下继续与频率控制数据相加。这样,相位累加器在参考时钟的作用下,进行线性相位累加,当相位累加器累积满量时就会产生一次溢出,完成一个周期性的动作,这个周期就是DDS合成信号的一个频率周期,累加器的溢出频率就是DDS输出的信号频率。

在参考时钟fr的控制下,频率控制字由累加器累加以得到相应的相位数据,把此数据作为取样地址,来寻址正弦ROM表进行相位-幅度变换,即可在给定的时间上确定输出的波形幅值。DAC将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号,低通滤波器用于滤除不需要的取样分量,这样即可得到由频率控制字决定的连续变化的输出正弦波。

DDS的输出频率f0和参考时钟fr、相位累加器长度N以及频率控制字FSW的关系为: ; DDS的频率分辨率为: ;由于DDS的输出最大频率受奈奎斯特抽样定理限制,所以DDS 的最高输出频率为fr/2,但在实际设计的DDS系统中,由于输出滤波器的非理想性,一般输出信号的最大频率只能达到参考时钟频率fr的40%左右。

-31-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

【传统信号发生器】

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。函数信号发生器的实现方法通常有以下几种:

(1)用分立元件组成的函数发生器:通常是单函数发生器且频率不高,其工作不很稳定,不易调试。

(2)可以由晶体管、运放IC等通用器件制作,更多的则是用专门的函数信号发生器IC产生。早期的函数信号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。

(3)利用单片集成芯片的函数发生器:能产生多种波形,达到较高的频率,且易于调试。鉴于此,美国美信公司开发了新一代函数信号发生器ICMAX038,它克服了(2)中芯片的缺点,可以达到更高的技术指标,是上述芯片望尘莫及的。MAX038频率高、精度好,因此它被称为高频精密函数信号发生器IC。在锁相环、压控振荡器、频率合成器、脉宽调制器等电路的设计上,MAX038都是优选的器件。

-32-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

附录2 英文参考资料

【DDS waveform generator】

DDS The basic working principle of:

Direct digital frequency synthesizer is used digital technology, by controlling the phase the rate of change, a direct result of a variety of different frequency signals in a frequency synthesis method. The basic structure of DDS as shown in Figure 1, which is mainly from the phase accumulator, sine ROM tables, D / A converter and low-pass filter constituted.

Reference clock fr by a stable crystal oscillator. Phase accumulator by the N-bit adder with the N-bit phase register cascade structure, similar to a simple adder. Each to a clock pulse frequency control adder to the output data with the accumulated phase register phase data are added together, the sum of the results sent to the phase register of data input. Phase register will be a clock in the last adder After the effects of the new phase data generated by feedback to the adder input, so that adder in the next one under the action of the clock and frequency control data to continue to add. In this way, the reference clock phase accumulator under the effect of linear accumulation phase, when the full volume of the phase accumulator accumulating an overflow arises complete a cyclical movement, the cycle is the DDS frequency synthesis of signals in a cycle, accumulator overflow frequency is the DDS output signal frequency.

In the reference clock fr under the control of frequency control word from the accumulator to accumulate the corresponding phase data to this data as a sample address, to address the sine ROM table phase - amplitude transformation, you can at a given time to determine the output waveform amplitude. DAC digital form will be converted into the required wave amplitude of the analog form of synthesized frequency signal, low-pass filter used to filter out unwanted sample

-33-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

components, which can be determined by the frequency control word change in the output of a continuous sine wave .

DDS output frequency f0 and the reference clock fr, the phase accumulator word length N and frequency control of the relationship between the FSW as:; DDS frequency resolution as follows:; due to the output of DDS by the Nyquist sampling theorem the maximum frequency restrictions, DDS The maximum output frequency is fr / 2, but in the actual design of the DDS system, due to the non-ideal nature of the output filter, the general output signal can only reach the maximum frequency reference clock frequency fr of 40%.

【Traditional signal generator】

Signal Generator also known as source or oscillator, in the production practice and science and technology has a broad application. A variety of wave curves can be expressed in trigonometric equation. Can produce a variety of waveforms, such as the triangle wave, sawtooth, rectangular wave (including square), sine wave circuit is called the function signal generator. Function generator experiments and equipment testing at the circuit has a very wide range of uses. For example, in telecommunications, broadcasting, television systems, the need to radio-frequency (HF) emission, where the radio waves is the carrier, the audio (low frequency), video signal or pulse signal carried out, it is necessary to produce high-frequency oscillator. In industry, agriculture, bio-medical and other fields, such as the high-frequency induction heating, melting, quenching, ultrasound, magnetic resonance imaging, we need power, large or small, or high or low frequency oscillator. Function Generator implementations usually have the following:

(1) with a function generator composed of discrete components: usually is a single function generator and the frequency is not high, their work is not very stable and difficult to debug.

(2) can be transistors, such as general-purpose op amp IC device production, more than that is a function of signal generator IC specifically produced. Early in Function Generator IC, such as the

-34-

哈尔滨工业大学华德应用技术学院毕业设计(论文)

L8038, BA205, XR2207/2209 so that they function less accuracy is not high, frequency upper limit is only 300kHz, can not generate higher frequency signals, adjustment method is also less flexible, frequency and accounted for air ratio can not be independent regulator, the two affect each other.

(3) the use of monolithic integrated chip function generator: to generate a variety of waveforms to achieve a higher frequency, and easy to debug. In view of this, the United States Maxim has developed a new generation of the function signal generator ICMAX038, it has overcome (2) the shortcomings of chips that can achieve higher specifications, the above-mentioned chips can not. MAX038 high frequency accuracy is good, so it is called High Precision Function Generator IC. In the PLL, VCO, frequency synthesizer, pulse-width modulator circuit design, MAX038 devices are preferred.

-35-

本文来源:https://www.bwwdw.com/article/vm9p.html

Top