STM32F107串口通信RS232 modbus(去注释方便打印)

更新时间:2024-06-10 07:57:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

Main

#include \

typedef enum { FAILED = 0, PASSED = !FAILED} TestStatus; TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure; TIM_OCInitTypeDef TIM_OCInitStructure;

//#define countof(a) (sizeof(a) / sizeof(*(a))) u32 CCR1_Val=1000;

USART_InitTypeDef USART_InitStructure;

//u8 NbrOfDataToTransfer1 ; //u8 NbrOfDataToRead1;

volatile TestStatus TransferStatus1 = FAILED; ErrorStatus HSEStartUpStatus;

void RCC_Configuration(void); void GPIO_Configuration(void); void NVIC_Configuration(void); void Uart_config(void);

void TIM2_config(void);

extern void Com0_Communication(void);

TestStatus Buffercmp(u8* pBuffer1, u8* pBuffer2, u16 BufferLength);

int main(void) {

#ifdef DEBUG debug(); #endif

/* System Clocks Configuration */ RCC_Configuration();

/* NVIC configuration */ NVIC_Configuration();

/* Configure the GPIO ports */ GPIO_Configuration();

Uart_config();

TIM2_config(); while (1)

{

Com0_Communication(); } }

void RCC_Configuration(void) {

/* RCC system reset(for debug purpose) */ RCC_DeInit();

/* Enable HSE */

RCC_HSEConfig(RCC_HSE_ON);

/* Wait till HSE is ready */

HSEStartUpStatus = RCC_WaitForHSEStartUp();

if(HSEStartUpStatus == SUCCESS) {

/* Enable Prefetch Buffer */

FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);

/* Flash 2 wait state */

FLASH_SetLatency(FLASH_Latency_2);

/* HCLK = SYSCLK */

RCC_HCLKConfig(RCC_SYSCLK_Div1);

/* PCLK2 = HCLK */

RCC_PCLK2Config(RCC_HCLK_Div1);

/* PCLK1 = HCLK/2 */

RCC_PCLK1Config(RCC_HCLK_Div2);

/* PLLCLK = 8MHz * 9 = 72 MHz */

RCC_PLLConfig(RCC_PLLSource_HSE_Div1, RCC_PLLMul_9);

/* Enable PLL */ RCC_PLLCmd(ENABLE);

/* Wait till PLL is ready */

while(RCC_GetFlagStatus(RCC_FLAG_PLLRDY) == RESET) { }

/* Select PLL as system clock source */

RCC_SYSCLKConfig(RCC_SYSCLKSource_PLLCLK);

/* Wait till PLL is used as system clock source */ while(RCC_GetSYSCLKSource() != 0x08) { }

}

/* TIM2 clock enable */

RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM2, ENABLE);

/* Enable USART1, GPIOA, GPIOA and AFIO clocks */

RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1 | RCC_APB2Periph_GPIOA RCC_APB2Periph_AFIO, ENABLE); }

void GPIO_Configuration(void) {

GPIO_InitTypeDef GPIO_InitStructure; /* Configure USART1 Rx (PA.10) as input floating */ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;

GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;

GPIO_Init(GPIOA, &GPIO_InitStructure);

/* Configure USART1 Tx (PA.09) as alternate function push-pull */ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;

GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; GPIO_Init(GPIOA, &GPIO_InitStructure); }

void NVIC_Configuration(void) {

NVIC_InitTypeDef NVIC_InitStructure;

#ifdef VECT_TAB_RAM

/* Set the Vector Table base location at 0x20000000 */ NVIC_SetVectorTable(NVIC_VectTab_RAM, 0x0); #else /* VECT_TAB_FLASH */ /* Set the Vector Table base location at 0x08000000 */ NVIC_SetVectorTable(NVIC_VectTab_FLASH, 0x0);

| #endif

/* Configure the NVIC Preemption Priority Bits配置优先级组 */ NVIC_PriorityGroupConfig(NVIC_PriorityGroup_0);

/* Enable the USART1 Interrupt */

NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQChannel; NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0; NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0; NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; NVIC_Init(&NVIC_InitStructure); /* Enable the TIM2 gloabal Interrupt */

NVIC_InitStructure.NVIC_IRQChannel = TIM2_IRQChannel; NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0; NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0; NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; NVIC_Init(&NVIC_InitStructure); }

/* USART1 configuration ------------------------------------------------------*/ /* USART configured as follow: - BaudRate = 9600 baud - Word Length = 8 Bits - One Stop Bit

- No parity

- Hardware flow control disabled (RTS and CTS signals) - Receive and transmit enabled */

void Uart_config(void) {

USART_InitStructure.USART_BaudRate = 9600;

USART_InitStructure.USART_WordLength = USART_WordLength_8b; USART_InitStructure.USART_StopBits = USART_StopBits_1; USART_InitStructure.USART_Parity = USART_Parity_No; USART_InitStructure.USART_HardwareFlowControl USART_HardwareFlowControl_None;

USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx; /* Configure USART1 */

USART_Init(USART1, &USART_InitStructure); /* Enable USART1 Receive and Transmit interrupts */ USART_ITConfig(USART1, USART_IT_RXNE, ENABLE); /* Enable the USART1 */

USART_Cmd(USART1, ENABLE); }

=

void TIM2_config(void)

{

/* Time base configuration[初始化时间基单元] */ TIM_TimeBaseStructure.TIM_Period = 0xffff;//周期值

TIM_TimeBaseStructure.TIM_Prescaler = 0;//时钟的预分频数=0x0000

TIM_TimeBaseStructure.TIM_ClockDivision = 0;//TIM_ClockDivision 配置时钟分割 TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_Up;//向上计数

TIM_TimeBaseInit(TIM2, &TIM_TimeBaseStructure);

/* Prescaler configuration [配置TIM的预分频数]*/

TIM_PrescalerConfig(TIM2, 36, TIM_PSCReloadMode_Immediate);//TIM2,36分频,预分频数立即载入

/* Output Compare Timing Mode configuration: Channel1 [配置输出比较模式:通道1]*/ TIM_OCInitStructure.TIM_OCMode = TIM_OCMode_Timing;//TIM输出比较计时模式 TIM_OCInitStructure.TIM_OutputState = TIM_OutputState_Enable;//输出允许 TIM_OCInitStructure.TIM_Pulse = CCR1_Val;//脉冲CCR1_Val

TIM_OCInitStructure.TIM_OCPolarity = TIM_OCPolarity_High;//极性HIGH

TIM_OC1Init(TIM2, &TIM_OCInitStructure);

TIM_OC1PreloadConfig(TIM2, TIM_OCPreload_Disable);//关闭TIM2在CCR1寄存器上的预载入寄存器

/* TIM IT enable [使能TIM2比较中断]*/ TIM_ITConfig(TIM2, TIM_IT_CC1, ENABLE); /* TIM2 enable counter */ TIM_Cmd(TIM2, ENABLE);

}

#ifdef DEBUG

void assert_failed(u8* file, u32 line)

{

/* User can add his own implementation to report the file name and line number, ex: printf(\

/* Infinite loop */ while (1) { } }

#endif

Modbus

/***************************************************** modbus-rtu 通讯规约

通讯方式:rs-485 半双功 校验方式:crc16 停止位:2位 编写:孙可

编写日期:2008年6月18日 版本:v0.2 编程思路:

1.串口中断允许自动接收总线上的信息,当接收的 字节后超过3.5个字节时间没有新的字节认为本次 接收完成,接收完成标志置1;如果接收完成标志已 经置1又有数据进来则丢弃新来的数据。 2.串口接收数据的处理, 当接收完成标志置1进入 接收数据处理, (1)首先判断接收的第一位数据与 本机地址是否相同,如果不相同清空接收缓存不发 送任何信息; (2)接收的第一位数据与本机地址相 同,则对接收缓存中的数据进行crc16校验,如果接

收的校验位与本校验结果不相同清空接收缓存不发 送任何信息;

(3)如果crc16校验正确则根据数据串中的命令码进 行相应的处理。

******************************************************/ #include \

u8 Com0_id = 0x05;//本机串口0的通讯地址 u8 Uart0_rev_buff[100];//com0串口接收缓冲区 u8 Uart0_send_buff[100];//com0串口发送缓冲区 vu8 Uart0_rev_count;

vs8 Uart0_send_counter = 0;

vu8 Uart0_rev_comflag;

vu8 Crc_counter = 0;//com0校验计数器

vu8 *Uart0_send_pointer = Uart0_send_buff;//com0串口发送指针

vu16 Mkgz_bz = 0;//模块故障标志1:输入异常,2:过压,3:欠压,4:过温 vu16 Out_current = 50;//输出电流 vu16 Out_voltage = 240;//输出电压 vu16 Mkzt_bz = 0;//模块状态标志 vu16 OutX_current = 1000;//输出限流 vu16 Jc_voltage = 2530;//均充电压

vu16 Fc_voltage = 2400;//浮充电压 vu16 user_day = 1825;//使用天数

void Delay(vu32 nCount);

unsigned short getCRC16(volatile unsigned char *ptr,unsigned char len) ; void mov_data(u8 a[100],u8 b[100],u8 c); void Modbus_Function_3(void);

void Modbus_Function_6(void); /*************************************** 函数名称:crc16校验 函数功能:crc16校验

函数输入:字节指针*ptr,数据长度len 函数返回:双字节crc 函数编写:孙可

编写日期:2008年6月9日 函数版本:v0.2

****************************************/

unsigned short getCRC16(volatile unsigned char *ptr,unsigned char len) {

unsigned char i;

unsigned short crc = 0xFFFF; if(len==0) {

len = 1; }

while(len--)

{

crc ^= *ptr;

for(i=0; i<8; i++) {

if(crc&1) {

crc >>= 1; crc ^= 0xA001; }

else

{

crc >>= 1;

}

} ptr++; }

return(crc);

}

/*************************************** 块数据复制数据函数

功能:把数组a的c个数据复制到数组b中 输入:指针a,指针b,数据个数c 返回:无

编写:孙可

编写日期:2008年3月28日 版本:v0.1

****************************************/ void mov_data(u8 a[100],u8 b[100],u8 c) {

u8 i;

for(i=c; i>0; i--) {

a[i] = b[i]; } }

/////////////////////////////////////////////////////////////////////// void Modbus_Function_3(void) {

u16 tempdress = 0; u8 i = 3;

u16 crcresult;

tempdress = (Uart0_rev_buff[2] << 8) + Uart0_rev_buff[3];

if((tempdress >= 0x0120) & (tempdress + Uart0_rev_buff[5] < 0x0132)) {

Uart0_send_buff[0] = Com0_id;

Uart0_send_buff[1] = 0x03;

Uart0_send_buff[2] = 2 * Uart0_rev_buff[5]; Uart0_send_counter = 2 * Uart0_rev_buff[5] + 3;

switch(tempdress) { case 0x0120:

{

Uart0_send_buff[i] = Mkgz_bz & 0xff;

i++;

Uart0_send_buff[i] = (Mkgz_bz >> 8) & 0xff; i++;

}//后面不放break的目的是继续往下执行 case 0x0122:

{

Uart0_send_buff[i] = Out_voltage & 0xff; i++;

Uart0_send_buff[i] = (Out_voltage >> 8) & 0xff; i++;

}

case 0x0124:

{

Uart0_send_buff[i] = Out_current & 0xff;

i++;

Uart0_send_buff[i] = (Out_current >> 8) & 0xff; i++; }

case 0x0126:

{

Uart0_send_buff[i] = Mkzt_bz & 0xff; i++;

Uart0_send_buff[i] = (Mkzt_bz >> 8) & 0xff; i++; }

case 0x0128://这个地址是备用的里面的数据没有意义 {

Uart0_send_buff[i] = 0x00; i++;

Uart0_send_buff[i] = 0x00; }

i++;

case 0x012A: {

Uart0_send_buff[i] = OutX_current & 0xff; i++;

Uart0_send_buff[i] = (OutX_current >> 8) & 0xff;

}

i++;

case 0x012C: {

Uart0_send_buff[i] = Jc_voltage & 0xff; i++;

Uart0_send_buff[i] = (Jc_voltage >> 8) & 0xff;

}

i++;

case 0x012E: {

Uart0_send_buff[i] = Fc_voltage & 0xff;

i++;

Uart0_send_buff[i] = (Fc_voltage >> 8) & 0xff; i++; }

case 0x0130:

{

Uart0_send_buff[i] = 0x00; i++;

Uart0_send_buff[i] = 0x00;

i++;

} }

//UCSRB |= (1<

Uart0_send_buff[Uart0_send_counter+1] = (crcresult >> 8) & 0xff; Uart0_send_counter = Uart0_send_counter+2; Uart0_send_pointer = Uart0_send_buff;

USART_SendData(USART1, *Uart0_send_pointer++);

USART_ITConfig(USART1, USART_IT_TXE, ENABLE); }

}

///////////////////////////////////////////////////////////// void Modbus_Function_6(void) {

u16 tempdress = 0; u8 tx_flat = 0;

u16 crcresult;

tempdress = (Uart0_rev_buff[2]<<8) + Uart0_rev_buff[3]; switch(tempdress) {

case 0x0126:

{

Mkzt_bz = (Uart0_rev_buff[4]<<8) + Uart0_rev_buff[5]; if(user_day > 0) { tx_flat = 1; } }break; case 0x012A:

{

OutX_current = (Uart0_rev_buff[4]<<8) + Uart0_rev_buff[5]; if(user_day > 0) {

本文来源:https://www.bwwdw.com/article/vib6.html

Top