VLSI课内实验——RTL级并行前缀加法器设计
更新时间:2023-08-20 06:07:01 阅读量: 高等教育 文档下载
- VLSI课程推荐度:
- 相关推荐
VLSI课内实验
RTL级并行前缀加法器设计
班 级: 学 号: 姓 名:
RTL级并行前缀加法器设计
一、加法器简介
算术逻辑部件主要处理算术运算指令和逻辑运算指令,它的核心单元是加法器。这个加法器是影响算术逻辑部件整体性能的关键部分,因为几乎所有的算术运算和逻辑运算,都要通过它来完成。
加法器结构包括串行进位加法器(Carry Ripple Adder,CRA)、进位跳跃加法器(Cany Skip Adder,CKA),以及较高速度的进位选择加法器(carry select Adder,CSA)、超前进位加法器(Carry Look ahead Adder,CLA)和并行前缀加法器(Parallel Prefix Adder)等。
除上述五种加法器结构外,还有采取多加法器并联的流水线加法器和专用的加法器,如支持向量的快速加法器等等,本设计主要是用VHDL描述一个RTL级并行前缀加法器。
二、原理及设计思路
并行前缀加法器是超前进位的一种改进结构,它将n位加法器的进位传播信号层次化地分解为m位子组合,并将进位产生和进位传播组织成递归的树型结构。并行前缀加法器使用一种特殊的方式产生各位的进位输出,这种方式称为“前缀运算(Prefix Compution)”。所有进位产生和传播信号并行地通过前缀运算单元进行运算,同时输出进位信号。各个前缀运算单元通过递归的方式连接起来,即可形成整个加法器。
对于并行前缀加法器,有以下定义:
两个操作数:A a0a1...ai...an 1,B b0b1...bj...bn 1。其中0 i,j n 1。同时有操作:gi aibi,pi ai bi,称gi为进位产生位,pi为进位传输位。 这两个操作很好理解:不计进位输入,当ai,bi同时为1时,gi为1,此时会产生进位;如果有进位输入,则ai,bi有一个为1的时候就会产生进位。
由此定义前缀操作“˙”:
gout gi (p1 p0)
(g1,p1),则 令(gout,pout) (g0,p0)·
p p p10 out
定义前缀操作后,不难发现,前缀只涉及到操作数固定的两对位,而不是整
个操作数,这样就可以将每个进位简单的以某系位表示,而不用整个操作数。虽然从上面看前缀加法器做成一个级联进位加法器更容易,但是由于定义的前缀运算具有结合律,幂等性等优秀性质,所以可将以上串行加法操作转换成并行加法操作。其中,结合律允许前缀等式中的每一个子项进行预计算,这也就意味着上面提到的串行计算可以被分解为多个并行计算的过程。同时,幂等律允许这些并行计算的子项相互之间可以重叠,这样就使并行计算具有很大的灵活性,从而达到快速做加法运算的目的。
三、实现方案
目前,通常使用的有基于
KS树,LF树和BK树三种结构,如图1~3所示。
图1 基于KS树的加法器结构
图2 基于LF树的加法器结构
图3 基于BK树的加法器结构
在以上三种树结构中,KS树与BK树每个节点最多只有一个输出项,因而加法器扇出最小,LF可能同时又四个分支,所以总扇出最大;LF树有最小的逻辑深度,KS树的逻辑深度其次,BK树逻辑深度最大。
综合上述考虑,本设计重点是如何设计出一种更快的加法器来替代逐级进位加法器,故采用LF树结构来设计加法器。
四、设计结果
用QuartusII软件编写VHDL,其仿真波形如图4所示。
图4 QuartusII的LF并行前缀加法器仿真结果
五、结论
对于N位加法运算,并行前缀加法器最少只需logN步就可完成进位的运算,因此也称为“对数超前进位加法器”。并行前缀加法器的运算速度是各种加法器结构中最快的,同时,它由许多相同的前缀运算单元组成,结构规整,容易实现。由于这些优点,并行前缀加法器成为当前最常用的高速加法器结构。
可以看出,串行进位加法器速度最慢,面积最小;进位跳跃加法器速度有很大提高,而面积只有较小的增加;进位选择加法器和超前进位加法器的速度相差不大,都能达到较快的速度,但超前进位加法器的面积比进位选择加法器大出10倍以上。因此单一的超前进位加法器在位数较大时很不实用,性价比很低,必须与其它结构进行组合;并行前缀加法器速度最快,是进位选择加法器的1.5倍,而其面积却相对增长较少,比进位选择加法器略高,只有超前进位加法器的14%左右。分析结果表明,并行前缀加法器具有速度和面积两方面的优势,是设计时首选的结构。由于并行前缀加法器优秀的性能特性和较小的面积代价,因此得到了广泛的应用。
附:源代码
library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity adder is
port(a :in std_logic_vector(7 downto 0); b :in std_logic_vector(7 downto 0); ci :in std_logic; clk:in std_logic;
s :out std_logic_vector(7 downto 0); co :out std_logic); end entity;
architecture behav of adder is
signal g:std_logic_vector(7 downto 0); signal p:std_logic_vector(7 downto 0); signal c:std_logic_vector(7 downto 0); begin process(clk) begin
g(7) <= a(7) and b(7);
g(6) <= a(6) and b(6); p(6) <= a(6) xor b(6); g(5) <= a(5) and b(5); p(5) <= a(5) xor b(5); g(4) <= a(4) and b(4); p(4) <= a(4) xor b(4); g(3) <= a(3) and b(3); p(3) <= a(3) xor b(3); g(2) <= a(2) and b(2); p(2) <= a(2) xor b(2); g(1) <= a(1) and b(1); p(1) <= a(1) xor b(1); g(0) <= a(0) and b(0); p(0) <= a(0) xor b(0);
g(7) <= g(7) xor (p(7) and g(6)); p(7) <= p(7) and p(6);
g(5) <= g(5) xor (p(5) and g(4)); p(5) <= p(5) and p(4);
g(3) <= g(3) xor (p(3) and g(2)); p(3) <= p(3) and p(2);
g(1) <= g(1) xor (p(1) and g(0));
g(7) <= g(7) xor (p(7) and g(5)); p(7) <= p(7) and p(5);
g(6) <= g(6) xor (p(6) and g(5)); p(6) <= p(6) and p(5);
g(3) <= g(3) xor (p(3) and g(1)); p(3) <= p(3) and p(1);
g(2) <= g(2) xor (p(2) and g(1)); p(2) <= p(2) and p(1);
g(7) <= g(7) xor (p(7) and g(3)); p(7) <= p(7) and p(3);
g(7) <= g(7) xor (p(7) and g(2)); p(7) <= p(7) and p(2);
g(7) <= g(7) xor (p(7) and g(1)); p(7) <= p(7) and p(1);
g(7) <= g(7) xor (p(7) and g(0)); p(7) <= p(7) and p(0); s(7) <= p(7) xor g(6); s(6) <= p(6) xor g(5); s(5) <= p(5) xor g(4); s(4) <= p(4) xor g(3); s(3) <= p(3) xor g(2);
s(1) <= p(1) xor g(0); s(0) <= a(0) xor b(0) xor ci;
co <= (a(7) and b(7)) or (a(7) and c(7)) or (b(7) and c(7)); end process; end architecture;
正在阅读:
桐城垃圾焚烧发电项目桥架技术协议(第一批确认版20120906)03-03
第3届希望杯五年级第2试07-19
第6讲 媒介资本运营05-23
中考文学常识训练07-22
不到长城非好汉作文600字06-27
那些经典的句子04-03
商业银行客户关系管理02-11
新型多路数控增益放大器03-10
- 2012诗歌鉴赏讲座 师大附中张海波
- 2012-2013学年江苏省苏州市五市三区高三(上)期中数学模拟试卷(一)
- 市政基础设施工程竣工验收资料
- 小方坯连铸机专用超越离合器(引锭杆存放用)
- 荀子的学术性质之我见
- 氩弧焊管轧纹生产线操作说明
- 小学科学六年级上册教案
- (商务)英语专业大全
- 外汇储备的快速增长对我国经济发展的影响
- 幼儿园中班优秀语言教案《小猴的出租车》
- 第七章 仪表与显示系统
- 身份证号码前6位行政区划与籍贯对应表
- 单位(子单位)工程验收通知书
- 浅谈地铁工程施工的项目成本管理
- 沉积学知识点整理
- 前期物业管理中物业服务企业的法律地位
- 2014微量养分营养试卷
- 地质专业校内实习报告范文(通用版)
- 内部审计视角下我国高校教育经费支出绩效审计研究
- 高次插值龙格现象并作图数值分析实验1
- 加法器
- 前缀
- 并行
- 实验
- 设计
- VLSI
- RTL
- 超长超全的驾驶知识
- 关于治理中小学教师有偿家教的若干规定
- 北洋水师成军史
- 对大学物理化学课程教学的思考
- 高中英语外研版必修一预习(学案)检测 附答案
- 唐山市滦南县小学数学六年级上册4.2比的基本性质
- 镁离子电池正极材料Mg12Mn18O4的电化学性能研究
- 作业长制及其推进_各厂讲课稿
- 质量通病专项防治施工方案
- 旅游景区公共信息导向系统规范与设计(标识设计)
- 动物营养学 第十章 营养需要与饲养标准
- 初一下册生物第九章知识点
- 白公鹅 评课稿
- 小麦D 2型细胞质雄性不育恢复基因近等基因系筛选和遗传背景的分子检测
- 西方经济学第七章答案解析
- 英雄杀朱雀之章更新人物详情
- 电子称的原理及组成
- 鲁滨逊漂流记读书笔记
- 必修12 模块三讨论:数字化教学资源教学最常见到的误用现象
- 申办保健食品经营企业卫生许可证条件程序