熟悉ISE软件的使用方法

更新时间:2023-09-29 17:01:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

熟悉ISE软件的使用方法: (一)新建工程

1.1 点击File----〉New Project,如图所示:

1.2 在弹出的对话框Project Name 中输入工程名称,Project Location 为工程保存位置,可以默认位置或自行更改位置。Top-level source type 为顶层资源类型,默认为HDL,不必更改。如图所示:

1.3 点击 Next 按钮,进入工程的进一步设置,如图所示:

选择器件家族(Family)、具体器件名称(Device)、封装形式(Package)、速度(Speed)、综合工具(默认就行)、仿真工具(Simulator)(默认使用ISE自带的仿真工具、也可以选择使用Modesim)。

1.4 设置完成点击Next,一路Next直到点击Finish。

(二)建立VHDL源程序 2.1 新建工程后,在ISE软件左侧Source中的器件名上单击右键弹出对话框,如图所示:

选择New Source。

2.2 在弹出的对话框中选择VHDL Module,File Name中键入VHDL文件名称。

如图所示:

Location 默认就行,一路点击Next完成新建VHDL模块。

2.3 回到ISE环境,我们可以看到一个有待完成的VHDL程序,该程序已经包

含了头文件,实体和结构体的定义,需要我们添加端口和结构体的具体实现。也可以删除这些内容,拷贝已经写好的VHDL程序到其中,然后保存文件。

(二)VHDL程序的综合与仿真 3.1 程序的综合

双击ISE环境左侧Processes下的Implement design 中的Synthesize-XST,或右键点击再选择Run,如果程序没有错误则会综合通过,如果程序出错,可点击ISE环境左下的Errors进行查看。如图所示:

(三)引脚锁定与下载 4.1 在ISE环境下,在左侧Source 下的Source for 中可以选择post-rout simulation 进行时序仿真,具体过程参考功能仿真。无误后进行引脚锁定。

4.2 在 source for 中选择synthesis/implementation,在Processes中展开User Constraints,选择Assign package pins.如图:

4.3 在弹出的引脚锁定框中将列出所要锁定的引脚名称,根据具体要求在左侧Design object list ----I/O pins 中的LOC中直接输入引脚锁定位置。如图:

完成后点击保存,然后关闭该窗口。

4.4 回到ISE环境,在processes下展开Implement design-?optional implementation tools-?LOCK PINS进行引脚锁定。

4.5 在processes下展开Implement design-?generate programming file-?Configure Device(Impact),默认使用JTAG直接点击Finish 即可。

本文来源:https://www.bwwdw.com/article/rthd.html

Top