VHDL实验报告
更新时间:2023-11-11 20:27:01 阅读量: 教育文库 文档下载
专用集成电路实验报告
13050Z01 1305024237
刘德文
实验一 开发平台软件安装与认知实验
实验内容
1、 本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计
电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、 用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE
9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。
源程序:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM;
--use UNISIM.VComponents.all;
entity ls74138 is
Port ( g1 : in std_logic; g2 : in std_logic;
inp : in std_logic_vector(2 downto 0); y : out std_logic_vector(7 downto 0)); end ls74138;
architecture Behavioral of ls74138 is begin
process(g1,g2,inp) begin
if((g1 and g2)='1') then case inp is
when \ when \
when \
when \ when \ when \ when \ when \ when others=>y<=\ end case; else
y<=\ end if; end process; end Behavioral;
波形文件:
生成元器件及连接电路
思考:
有程序可以看出,定义了三个输入端,一个输出端。g1,g2为使能输入端,当全为一时,开始执行宽度为三的输入inp,并听过程序实现三八译码器的功能。通过实验,分别用了原理图和vhdl语言两种方式进行调试。两种方法各有优缺点。对于原理图而言,可以清晰直观的看出电路各部分的构造,但却只能在原有的基础上进行链接而无法随意修改元器件功能;vhdl语言则可以按照实际的需求进行编写程序,从而可以实现开发者想要实现的功能。
实验二 组合逻辑电路的VHDL语言实现
实验内容:
1.用VHDL语言实现优先编码器的设计并实现功能仿真 2.用VHDL语言实现四选一选择器的设计并实现功能仿真。
1.优先编码器源程序
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; ENTITY priorityencoder IS
PORT (input:IN STD_LOGIC_VECTOR (7 DOWNTO 0); y:OUT STD_LOGIC_VECTOR (2 DOWNTO 0)); END priorityencoder;
ARCHITECTURE rtl OF priorityencoder IS BEGIN
PROCESS (input) BEGIN
IF(input(0)='0') THEN y<=\
ELSIF(input(1)='0') THEN y<=\
ELSIF(input(2)='0') THEN y<=\
ELSIF(input(3)='0') THEN y<=\
ELSIF(input(4)='0') THEN y<=\
ELSIF(input(5)='0') THEN y<=\
ELSIF(input(6)='0') THEN y<=\ ELSE
y<=\ END IF; END PROCESS; END rtl;
波形图
原理图:
2.四选一选择器源程序:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux4 IS
PORT (input:IN STD_LOGIC_VECTOR (3 DOWNTO 0); a,b:IN STD_LOGIC; y:OUT STD_LOGIC); END mux4;
ARCHITECTURE rt1 OF mux4 IS
SIGNAL se1:STD_LOGIC_VECTOR (1 DOWNTO 0); BEGIN
se1<=b&a;
PROCESS (input,se1) BEGIN
IF(se1=\ y<=input(0); ELSIF(se1=\ y<=input(1); ELSIF(se1=\ y<=input(2); ELSE
y<=input(3); END IF;
正在阅读:
VHDL实验报告11-11
雅思口语必备动词短语04-06
“不忘初心、牢记使命”主题教育工作总结02-26
上海市嘉定区2019届高三上学期第一次质量调研生命科学试卷05-17
信息技术下的大学英语教学——基于计算机和网络的英语多媒体教学04-21
《爱到尽头》观后感10篇12-12
参-97 钛酸锶钡粉体制备方法研究进展05-11
初三历史与社会第四单元教案03-19
- exercise2
- 铅锌矿详查地质设计 - 图文
- 厨余垃圾、餐厨垃圾堆肥系统设计方案
- 陈明珠开题报告
- 化工原理精选例题
- 政府形象宣传册营销案例
- 小学一至三年级语文阅读专项练习题
- 2014.民诉 期末考试 复习题
- 巅峰智业 - 做好顶层设计对建设城市的重要意义
- (三起)冀教版三年级英语上册Unit4 Lesson24练习题及答案
- 2017年实心轮胎现状及发展趋势分析(目录)
- 基于GIS的农用地定级技术研究定稿
- 2017-2022年中国医疗保健市场调查与市场前景预测报告(目录) - 图文
- 作业
- OFDM技术仿真(MATLAB代码) - 图文
- Android工程师笔试题及答案
- 生命密码联合密码
- 空间地上权若干法律问题探究
- 江苏学业水平测试《机械基础》模拟试题
- 选课走班实施方案
- 实验
- 报告
- VHDL
- 专八人文知识模拟试题
- 长春乐购选址失败案例分析
- 2010数据结构期末试卷A答案
- 2.专升本考试-《旅游学概论》各章复习重点及问题设计-s笔记
- 关于我市民营科技企业发展情况的调查与建议
- 东师领导科学15秋在线作业2满分答案(2)
- 小学科学六年级上册第一单元复习题
- 2011年博雅杯人文知识大赛
- 上市公司盈利质量研究
- 关于跨文化产品设计模型的一项案例研究
- 流媒体技术企业视频网站建设方案
- 建筑节能遮阳设计 - 图文
- 防爆无轨胶轮车操作规程
- EAS组织架构详解
- 第预测与决策教程答案 李华
- 雅思长难句分析独家word版
- 2017年理化检验科实验所需试剂耗材明细表(第一标段)
- 微机原理与接口技术 试卷A
- 实用司法逻辑学试题B
- 加强行风建设“九不准”实施情况总结