8.19自动升降电梯控制器设计

更新时间:2023-07-27 00:36:01 阅读量: 实用文档 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

供大家参考下

8.19 自动升降电梯控制器设计

供大家参考下

设计要求

设计一个6层楼的电梯控制器。 该控制器可控制电梯完成6层楼的载客服 而且遵循方向优先原则,并能响应提前关 门延时关门,并具有超载报警和故障报警; 同时指示电梯运行情况和电梯内外请求信 息。

供大家参考下

电梯控制方式

1.内部请求优先控制方式 2.单向层层停控制方式 3.方向优先控制方式

供大家参考下

1.内部请求优先控制方式方案

内部请求优先控制方式类似于出租车的工作方式, 先将车上的人送至目的地,再去载客。作为通用 型电梯应该服务于大多数人,必须考虑电梯对内、 外请求的响应率P: Pin = 100%; Pout = 0~100%; 在内部请求优先控制方式中,当电梯外部人的请 求和电梯内部人的请求冲突时,外部人的请求信 号可能被长时间忽略,因而它不能作为通用型电 梯的设计方案。

供大家参考下

2.单向层层停控制方式方案

单向层层停控制方式等同于火车的运行方式,遇 站即停止、开门。 这种方案的优点在于“面面俱到”,可以保证所 有人的请求都能得到响应。 然而这样对电梯的效率产生消极影响:不必要的 等待消耗了大量时间,而且电梯的运作与用户的 请求无关,当无请求时电梯也照常跑空车,就浪 费了大量电能。 对用户而言,此种控制方式的请求响应时间也不 是很快。 因而这不是理想的方案。

供大家参考下

3.方向优先控制方式方案

方向优先控制是指电梯运行到某一楼层时先考虑这 一楼层是否有请求:有,则停止; 无,则继续前进。 停下后再启动时,①考虑前方——上方、或下方是 否有请求:有,则继续前进;无,则停止;②检测 后方是否有请求, 有请求则转向运行, 无请求则维 持停止状态。这种运作方式下,电梯对用户的请求 响应率为100%,且响应的时间较短。 假设: 电梯每两层间的运行时间为ΔT ,楼层数为6, 在每层楼的停止时间为t, 如果每层楼都有请求,则这 种控制方式的效率和上面的单向每层停等控制方式 的效率一样, 然而, 当不是每层楼都有请求 (假定为 只有第6层有请求输入) 时,上面的方式2(设为A方式) 的响应时间

供大家参考下

T=5*(ΔT + t ) 而方向优先控制方式(设为B方式)对同一请求的响应时间 T1=5*ΔT 即效率比 ηb/ηa = 1 + t /ΔT 方向优先控制方式的效率远大于单向层层停等控制方式的 效率。 而且,方向优先控制方式下,电梯在维持停止状 态的时候可以进入省电模式,又能节省大量电能, 本设计选择方向优先控制方式。

供大家参考下

系统组成FPGA系统时钟 分频器电梯升、降、停

楼层请求 故障清除关门中断 超载 提前关门

信号 存储中央处理器

开、关、停 楼层显示 请求信号显示

状态存储

超载、故障报警

供大家参考下

模块设计

1. 外部数据高速采集模块设计 2.信号存储模块

3. 基于FPGA的中央处理模块4.信号的输出、显示模块

供大家参考下

1.外部数据高速采集模块设计

对外部信号采集、处理要求电梯控制器: (1)外部请求信号的实时、准确采集。(2)准确、实时 的捕捉楼层到达信号。(3)有效的防止楼层到达信号、外 部请求信号的误判。 控制器采用FPGA作为系统控制的核心,系统时钟频率是 32.0000MHz,完全可以满足实时采集数据的要求。由于电 路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往 往被误作为系统状态转换的触发信号,严重影响电梯的正 常工作。可以采用多次检测的方法解决这个问题,对一个 信号进行多次采样以保证信号的可信度。 外部请求信号的输入形式为按键输入,到达楼层信号来自 光敏传感器,关门中断信号及超载信号则产生于压力传感 器。 键盘、光敏外部输入接口电路未设计。

供大家参考下

2.信号存储模块

电梯控制器的请求输入信号有18个(电梯外有6个 上升请求和6个下降请求的用户输入断口,电梯内 有6个请求用户输入断口),由于系统对内、外请 求没有设置优先级,各楼层的内、外请求信号被采 集后可先进行运算,再存到存储器内。 电梯运行过程中,由于用户的请求信号的输入是离 散的,而且系统对请求的响应也是离散的,因此请 求信号的存储要求新的请求信号不能覆盖原来的请 求信号,只有响应动作完成后才能清除存储器内对 应的请求信号位。

供大家参考下

3.基于FPGA的中央处理模块

中央数据处理模块是系统的核心,通过对存储的数据(含 请求、到达楼层等信号)进行比较、判断以驱动系统状态 的流转。电梯工作过程中共有9种状态:等待、上升、下 降、开门、关门、停止、休眠、超载报警以及故障报警状 态。一般情况下,电梯工作起始点是第一层,起始状态是 等待状态,启动条件是收到上升请求。 超载状态时电梯关门动作取消,同时发出警报,直到警报 被清除; 故障时电梯不执行关门动作,同时发出警报,直 到警报被清除(看门狗信号有效的条件是一层楼连续发生 关门中断情况超过3次)。本系统由请求信号启动,运行中 每检测到一个到达楼层信号,就将信号存储器的请求信号 和楼层状态信号进行比较,再参考原方向信号来决定是否 停止,转向等动作。

供大家参考下

(系统状态流程图 )

供大家参考下

4.信号的输出、显示模块

本系统的输出信号有两种: 一种是电机的升降 控制信号(两位)和开门/关门控制信号;另一 种是

面向用户的提示信号(含楼层显示、方向显 示、已接受请求显示等)。 电机的控制信号一般需要两位,本系统中电机有 3种工作状态: 正转、反转和停转状态。 两位控 制信号作为一个三路开关的选通信号,此三路开 关选用模拟电子开关。 系统的显示输出包括数码管楼层显示、数码管请 求信号显示和表征运动方向的箭头形指示灯的开 关信号。 完全可以满足人们的需要,而且效率比较高。

供大家参考下

本系统具有请求信号显示功能,结合方向显示, 可以减少用户对同一请求的输入次数,这样就 延长了电梯按键的使用寿命。假如电梯处于向 上运动状态,初始位置是底层,初始请求是6 楼,2楼时进入一人,如果他的目的地也是6楼, 他看到初始请求是6楼,就可以不再按键。同 时,电梯外部的人也可根据请求信号显示(上 升请求、下降请求、无请求),就可以避免没 必要的重复请求信号输入。电梯使用时,系统 结合相应的电梯使用规范。

供大家参考下

电梯控制器程序设计与仿真

供大家参考下

电 梯 控 制 器 电 路 符 号

供大家参考下

电梯控制器VHDL程序

--文件名:dianti.vhd。 --功能:6层楼的电梯控制系统。 --最后修改日期:2004.4.12。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;

供大家参考下

entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr:in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号 door : out std_logic_vector(1 downto 0); --电梯门控制信号 led : out std_logic_vector(6 downto 0); --电梯所在楼层显示 led_c_u:out std_logic_vector(5 downto 0);--电梯外人上升请求信号显示 led_c_d:out std_logic_vector(5 downto 0);--电梯外人下降请求信号显示 led_d : out std_logic_vector(5 downto 0); --电梯内请求信号显示 wahaha : out std_logic; --故障报警信号 ud,alarm : out std_logic; --电梯运动方向显示,超载警告信号 up,down : out std_logic ); --电机控制信号和电梯运动 end dianti;

本文来源:https://www.bwwdw.com/article/qg1m.html

Top