厂务及生产常用缩写

更新时间:2024-06-04 20:20:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

Abbreviation Full Name 中 文 說 明

HVAC

CUP Central Utility Plant 中央動力廠房

GEX General EXhaust 普通及熱排氣

SEX Scrubber EXhaust 酸排氣

VEX Volatile orgaic compound EXhaust 有機溶劑排氣

AEX Ammonia EXhaust 鹼性排氣

PCW Plant Cooling Water 工藝冷卻水

PV Process Vacuum 工藝真空

HV House Vacuum 真空吸塵

CW City Water 自來水

FMCS Faility Monitoring Contro System 廠務監控系統

MCC Motor Control Center 馬達控制中心

VFD Variable Frequency Device 變頻器

CCTV Close Circuit Televsion 閉路電視

PA Public Adress system 廣播系統

FA Fire Alarm system 火災報警系統

UPW Ultra Pure Water 超純水

FWW Fluoride Waste Water 低濃度氫氟酸廢水

IWW Industry Waste Water 工業廢水

OWW Organic Waste Water 有機溶劑廢水

DAHW Drain Amonia Hydride Waste 含氨廢水

RCL Recycle water 製程回收循環水

RCM Reclaim water 製程回收再利用水

HFW High Fluoride Waste water 高濃度氫氟酸廢水

BGW Backgriding Waste water 晶背研磨廢水

SAW Sulfuric Acid Waste water 硫酸廢液

PAW Phosphoric Acid Waste water 磷酸廢液

SW Stripper Waste water 剝離液廢液

TW Thinner Waste water 清洗廢液

PIX Pix waste water PIX廢液

SLOW Oxide slurry waste water 介電質研磨廢水

SLWP Metal slurry waste water 金屬研磨廢水

SLWP Poly slurry waste water 多晶硅研磨廢水

PN2 Process N2 製程用氮氣

GN2 General N2 一般用氮氣

CDA Compressor Dry Air 壓縮乾燥空氣

VMB Valve Manifold Box 閥箱

空調系統

AHU Air Handling Unit 空调箱

MAU Make-up Air Unit 外气空调箱

VAV Variable Air Volume box 可变风量风箱

FFU Fan Filter Unit 风车过滤器

HEPA High Efficiency Particulate Filter 高效率过滤器

ULPA Ultra Low Penetration Filter 超高效率过滤器

A/S Air Shower 空气浴尘室

CUP Central Utility Plant 中央動力廠房

電力系統

FMCS Faility Monitoring Control System 廠務監控系統

MCC Motor Control Center 馬達控制中心

VFD Variable Frequency Device 變頻器

CCTV Close Circuit Televsion 閉路電視

SCADA Supervisory Control And Data Acquisition 监视控制和数据搜集系统

MCC Motor Control Center 馬達控制中心

VFD Variable Frequency Device 變頻器

水處理系統

UPW Ultra Pure Water 超純水

RO Reverse Osmosis 逆滲透膜

TOC Total Organic Carbon 總有機碳

MB Mixed Bed 混床

UF UltraFiltration 超濾 SC Strong Cation 強陽離子

SA Strong Anion 強陰離子

WA Weak Anion 弱陰離子

DO Dissolved Oxygen 溶解氧

MD Membrane Degasify 脫氣膜

GF Gravity Filter 重力式過濾器.

DI Deionize 去離子水 氣體/化學系統

CQC Continuous Quality Control连续品质控制系统

VMB Valve Manifold Box 閥箱

VMP Valve Manifold Panel 閥盘

GMS Gas Monitoring System 气体监测系统

CDS Chemical Dispense System 化學系统

SDS Slurry Dispense System 化學研磨系统

环境安全卫生

ESH Environment Safety Health 环境安全卫生

SCBA Self Contained Brathing Apparatus 自给式空气呼吸器

HVAC AHU Air Handling Unit 空调箱

MAU Make-up Air Unit 外气空调箱

VAV Variable Air Volume box 可变风量风箱

FD Fire Damper 防火风门

FSD combined Fire Smoke Damper 防火防烟风门

SD Smoke Damper 防烟风门

PHX Plated type Heat Exanger 板式热交换器

FFU Fan Filter Unit 风车过滤器

HEPA High Efficiency Particulate Filter 高效率过滤器

ULPA Ultra Low Penetration Filter 超高效率过滤器

A/S Air Shower 空气浴尘室

A/L Air Lock 气闭门室

DCC Dry Cooling Coil 干盤管

FCU Fan Coil Unit 小型冷风机

SF Smoke Fan 消防排烟风车

EF Exhaust Fan 通风排气风车

CUP Central Utility Plant 中央動力廠房

GEX General EXhaust 普通及熱排氣

SEX Scrubber EXhaust 酸排氣

VEX Volatile orgaic compound EXhaust 有機溶劑排氣

AEX Ammonia EXhaust 鹼性排氣

PCW Process Cooling Water 工藝冷卻水

PV Plant Vacuum 工藝真空

HV House Vacuum 真空吸塵

CW City Water 自來水

FMCS Faility Monitoring Control System 廠務監控系統

MCC Motor Control Center 馬達控制中心

VFD Variable Frequency Device 變頻器

CCTV Close Circuit Televsion 閉路電視

PA Public Adress system 廣播系統

FA Fire Alarm system 火災報警系統

UPW Ultra Pure Water 超純水

RO reverse Osmosis 逆滲透膜

TOC total organic carbon 總有機碳

MB mixed bed 混床

UF ultrafiltration 超濾 SC strong cation 強陽離子

SA strong Anion 強陰離子

WA weak Anion 弱陰離子

DO dissolved oxygen 溶解氧

MD membrane Degasify 脫氣膜

GF gravity Filter 重力式過濾器.

DI deionize 去離子水

FWW Fluoride Waste Water 低濃度氫氟酸廢水

IWW Industry Waste Water 工業廢水

OWW Organic Waste Water 有機溶劑廢水

DAHW Drain Amonia Hydride Wastewater 含氨廢水

RCL Recycle water 製程回收循環水

RCM Reclaim water 製程回收再利用水

HFW High Fluoride Waste 高濃度氫氟酸廢液

BGW Backgriding Waste water 晶背研磨廢水

SAW Sulfuric Acid Waste 硫酸廢液

PAW Phosphoric Acid Waste 磷酸廢液

SW Stripper Waste 剝離液廢液

TW Thinner Waste 清洗廢液

PIX PIX waste PIX廢液

SLW-O SLurry Wastewater Oxide 介電質研磨廢水

SLW-M SLurry Wastewater Metal 金屬研磨廢水

SLW-P SLurry Wastewater Poly 多晶硅研磨廢水

PN2 Process N2 製程用氮氣

GN2 General N2 一般用氮氣

CDA Compressor Dry Air壓縮乾燥空氣

VMB Valve Manifold Box 閥箱 ESH

ESH Environment Safety Health 环境安全卫生

SCBA Self Contained Brathing Apparatus

AHU air hundling unit 空调箱 air conditioning load空调负荷 air distribution气流组织 air handling unit 空气处理单元 air shower 风淋室

air wide pre.drop空气侧压降

aluninum accessaries in clean room 洁净室安装铝材 as-completed drawing 修改竣工图 ayout 设计图

blass stop valve 铜闸阀

canvas connecting termingal 帆布接头 centigrade scale 摄氏温度

chiller accessaries 水冷柜机排水及配料 chiller asembly 水冷柜机安装工费 chiller unit 水冷柜机基础

自给式空气呼吸器clean bench 净化工作台 clean class 洁净度 clean room 洁净室 无尘室 correction factor修正系数 dcc dry coll units 干盘管 district cooling 区域供冷 direct return system异程式系统 displacement ventilation置换通风 drawn No.图号 elevation立面图

entering air temp进风温度 entering water temp进水温度 fahrenheit scale 华氏温度 FCU fan coil unit 风机盘管 FFU fan filter units 风扇过滤网组 final 施工图 flow velocity 流速 fresh air supply 新风供给 fresh air unit 新风处理单元 ground source heat pump地源热泵 gross weight 毛重

heating ventilating and air conditioning 供热通风与空气调节 hepa high efficiency pariculate air 高效过滤网 high efficiency particulate air filters高效空气过滤器 horizontal series type水平串联式 hot water supply system生活热水系统 humidity 湿度

hydraulic calculation水力计算 isometric drawing轴测图 leaving air temp 出风温度 leaving water temp出水温度 lood vacuum pump中央集尘泵

MAU make up air hundling unit schedule 外气空调箱 natural smoke exhausting自然排烟 net weight 净重 noise reduction消声 nominal diameter 公称直径 oil-burning boiler燃油锅炉

one way stop peturn valve 单向止回阀 operation energy consumption运行能耗 pass box 传递箱

particle sizing and counting method 计径计数法 Piping accessaries 水系统辅材 piping asembly 配管工费

plan 平面图

rac recirculation air cabinet unit schedule循环组合空调单元 ratio controller 比例调节器 ratio flow control 流量比例控制 ratio gear 变速轮 ratio meter 比率计 rational 合理性的,合法的;有理解能力的 rationale (基本)原理;原理的阐述 rationality 有理性,合理性

rationalization proposal 合理化建义 ratio of compression 压缩比 ratio of expansion 膨胀比 ratio of run-off 径流系数 ratio of slope 坡度

ratio of specific heat 比热比 raw 生的,原状的,粗的;未加工的 raw coal 原煤 raw cotton 原棉

raw crude producer gas 未净化的发生炉煤气 raw data 原始数据 raw fuel stock 粗燃料油 raw gas 未净化的气体 real gas 实际气体

realignment 重新排列,改组;重新定线 realm 区域,范围,领域 real work 实际工作 ream 铰孔,扩孔 rear 后部,背面,后部的 rear arch 后拱 rear axle 后轴

rear-fired boiler 后燃烧锅炉 rear pass 后烟道

rearrange 调整;重新安排[布置]

rearrangement 调整,整顿;重新排列[布置] reason 理由,原因;推理 reasonable 合理的,适当的 reassembly 重新装配 reaumur 列氏温度计

reblading 重装叶片,修复叶片 recalibration 重新校准[刻度] recapture 重新利用,恢复 recarbonation 再碳化作用

recast 另算;重作;重铸receiving basin 蓄水池 receiving tank 贮槽

recentralizing 恢复到中心位置;重定中心;再集中 receptacle 插座[孔];容器

reception of heat 吸热

recessed radiator 壁龛内散热器,暗装散热器 recharge well 回灌井

reciprocal 倒数;相互的,相反的,住复的 reciprocal action 反复作用

reciprocal compressor 往复式压缩机 reciprocal feed pump 往复式蒸汽机 reciprocal grate 往复炉排 reciprocal motion 住复式动作 reciprocal proportion 反比例

reciprocal steam engine 往复式蒸汽机 reciprocate 往复(运动),互换

reciprocating 往复的,来回的,互相的,交替的 reciprocating ( grate ) bar 往复式炉排片 reciprocating compressor 往复式压缩机 reciprocating condensing unit 往复式冷冻机

reciprocating packaged liquid chiller 往复式整体型冷水机组 reciprocating piston pump 往复式活塞泵 reciprocating pump 往复泵,活塞泵 reciprocating refrigerator 往复式制冷机 recirculate 再循环 recirculated 再循环的

recirculated air 再循环空气[由空调场所抽出,然后通过空调装置,再送回该场所的回流空气] recirculated air by pass 循环空气旁路 recircilated air intake 循环空气入口 recirculated cooling system 再循环冷却系统 recirculating 再循环的,回路的 recirculating air duct 再循环风道 recirculating fan 再循环风机 recirculating line 再循环管路 recirculating pump 再循环泵 recirculation 再循环

recirculation cooling water 再循环冷却水 recirculation ratio 再循环比 recirculation water 再循环水 reclaim 再生,回收;翻造,修复 reclaimer 回收装置;再生装置 reclamation 回收,再生,再利用

reclamation of condensate water蒸汽冷凝水回收 recombination 再化[结]合,复合,恢复

recommended level of illumination 推荐的照度标准 reconnaissance 勘察,调查研究 record drawing 详图、大样图、接点图 recording apparatus 记录仪器

recording barometer 自记气压计 recording card 记录卡片 recording facility 记录装置

recording liquid level gauge 自动液面计 recording paper of sound level 噪声级测定纸 recording pressure gauge 自记压力计 recording water-gauge 自记水位计 recoverable 可回收的,可恢复的 recoverable heat 可回收的热量 recoverable oil 可回收的油

recoverable waster heat 可回收的废热 recovery plant 回收装置 recovery rate 回收率 relief damper 泄压风门 return air flame plate回风百叶 Seat air supply座椅送风 Shaft seal 轴封

Shaft storage 搁架式贮藏 Shake 摇动,抖动

Shakedown run 试车,调动启动,试运转 Shake-out 摇动,抖动 Shakeproof 防振的,抗振的 Shaker 振动器 Shaking 摇[摆,振]动 Shaking grate 振动炉排 Shaking screen 振动筛 Shallow 浅层,浅的,表面的 Shank 柄,杆,柱体,轴

Shape 造[成]型,形状[态]模型。轮廓 Shape cutting 仿形切割 Shaped steel 型钢 Shape factor 形状因数

Sharp 尖的,急剧的,灵敏的,准确的,明显的 Sharp bend 小半径弯头

Sharp freezer 快速冻结器,低温冻结间[接受未经降温的货物并使之冻结的冷藏间,其温度通常维持在-29° C到-15° C之间]

Sharp freezing 快速冻结,低温冻结[在低温库内冻结产品] Sharp freezing room 急冻间 sheath 外壳,复板,外套 Sheathe 覆盖,装鞘,包,套 Sheave 滑车轮,凸轮盘 Sheet lead 铅皮

Sheet metal 金属片,金属薄板

Shell and coil condenser 壳管式冷凝器[盘管装置在壳内的一种冷凝器,冷却液在管内鞫淠闹评

浼猎诳枪苤鋆

Shell and coil evaporator 壳管式蒸发器 Shell and coil heat exchanger 壳管式热交换器

Shell and tube condenser 壳管式冷凝器[冷凝器的一种,冷却液在管内流动,而冷凝的制冷剂在壳内] Shell and tube cooler 壳管式冷却管

Shell and tube evaporator 壳管式蒸发器[管束浸在沸腾的制冷剂中,而被冷却的流体则在管内流动的蒸发器]

Shell and tube exchanger 壳管式换热器[组管速装置在壳体内,一种流体在管内流动,另一种流体在管壳之间流动]

Shell and tube heat exchanger 壳管式热交换器 Shell and tube type condenser 壳管式冷凝器 Shell type attemperator 立式表面减温器,壳管减温器 Shield carbon-dioxide arc welding 二氧化碳气体保护电弧焊 Shielded arc welding 保护电弧焊 Shifting bearing 活动支座,移动式轴承 Shifting spanner 活络扳手 Shrinkage 收缩;收缩量 Shunt regulator pipe 旁路调节管 Shunt valve 旁通阀

Shutoff damper 截止挡板,关闭风门 Shutoff valve 关闭阀,截止阀

Shutter grate 百叶炉篦,可调节的炉篦 Siamese connection 复式连接

Side opening with slide plate 插板式侧面风口

Side spacer (过热器)定距梳形管夹,梳形间隔,梳形卡子 Side wall 侧墙,侧水冷壁 Side wall inlet 侧墙进风口

Side wall register of horizontal and vertical louvers and shutters 带垂直和水平百叶的侧墙送风品 Side wall register of vertical louvers and shutters 带重直百叶的侧墙送风口 Sightglass 观察窗 Signal 信号符号,信号 Signal alarm 信号报警,警报器 Signal bell 信号铃

Signal call device 信号呼叫装置 Signal equipment 信号设备 Signal light 信号灯

Simultaneous 同时的,同时发生的,联立的 Simultaneous factor 同时系数 Single-acting air pump 单动气泵 Single-acting compressor 单作用压缩机 Single-acting pump 单动泵 Single admission 单侧进风

Single and double deflection grille 单层及双层百叶风口 Single branch pipe 单支管

Single collar pipe 单盘直管

Single column manometer 单管式压力计 Single column radiator 单柱散热器

Single duct air conditioning system 单风道空调系统[空气经过集中设备调节后,由单风道分送至各不同区域的系统]

Single-inlet fan 单进风通风机 Single lead 单管线

Single leaf damper 单页风口[只有一个叶片的,并以铰链接于开口一侧的方形或矩形风门] Single package 单独整体式 Single-pass 单程的,单流的 Single path 单通路

Single-phase kilowatt hour meter 单相电度表 Single-phase motor 单相马达

Single-phase three wire system 单相三线制 Single pipe district heating system 单区域供热系统 Single-range 单量程的 Single seated valve 单座阀

Single shell type absorption refrigerating machine 单筒吸收式制冷机 Single sided heating panel 单侧供暖辐射板 Single side draft hood 单面吸风罩 Single sleeve valve 单套阀

Single-stage absorption refrigerator 单极吸收式制冷机 Single-stage air compressor 单极空气压缩机 Single-stage centrifugal blower 单级离心鼓风机 Single-stage centrifugal pump 单级离心泵 Single-stage compression 单级压缩 Single-stage cyclone 单级旋风除尘器

Single-stage plate type ionizing electronic air cleaner 单级极板型静电空气过滤器 Single-stage pump 单级泵

Single-stage radial compressor 单级离心压缩机

Single vane rotary compressor 单叶回转式压缩机,[在压缩机中,转子沿定子的内圆周转动,在静止槽内滑动的一个叶片与转子不断接触,而使吸入口和排出口隔开] Single way suction 单向吸入 Singular 单一的,奇异的,单数的 Sintered metallic filter 金属陶瓷过滤器 Siphon action 虹吸作用 Siphonage 虹吸作用

Siphon barometer 虹吸式气压计 Siphon head 虹吸压头 Siphon trap 虹吸水封

Sirocco fan 西洛可风机,多叶片前弯离心机 Site-assembled 现场装配的 Site plan 总平面图 Site planning 总平面设计

Situation 地点,位置,形势,情况 Skating rink 溜冰场 Skeleton diagram 轮廓图 Sketching board 绘图板 Sketch plan 草图,初步设计 skin-load 外围护结构负荷

Skin temperature 皮肤温度,外壳温度 Skirtboard 侧护板,侧壁;踢脚板 Skirting air inlet 踢脚板进气口 Skirting heater 踢脚板放热器 Sky radiation 天空辐射

Steam heating pipe蒸汽供热管道 System accessaries 设备安装辅料 System testing 系统调试费title 图名 trasportation 设备吊运费 two-speed motor双速电机

ultra low penetration air filter 超高空气效过滤器 unidirectional air flow clean rooms单向流洁净室 vacuum 真空

valve and sub-asembly handing 阀门及配件安装工费 vibration isolation减振

virbrate free double poles terminal防震双球软接头 water flow水流量

water piping fittings 水管吊支架

water to water plate heat exchangers板换 water wide pre.drop水侧压降

ADI 显影后检查

.定义:After Developing Inspection 的缩写

.目的:检查黄光室制程;光刻胶覆盖→对准→曝光→显影。发现缺点后,如覆盖不良、显影不良等即予修改,以维护产品良率、品质。 .方法:利用目检、显微镜为之。

2. AEI 刻蚀后检查

.定义:AEI即After Etching Inspection,在蚀刻制程光刻胶去除前及光刻胶去除后,分别对产品实施全检或抽样检查。

.目的:a. 提高产品良率,避免不良品外流。b.达到品质的一致性和制程的重复性。c.显示制程能力的指针。d.阻止异常扩大,节省成本

.通常AEI检查出来的不良品,非必要时很少作修改,因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生产成本增高,以及良率降低的缺点。

3. AIR SHOWER 空气洗尘室 进入洁净室的前,需穿无尘衣,因在外面更衣室的故,无尘衣上沾着尘埃,故进洁净室的前,需经空气喷洗机将尘埃吹掉。

4. AL/SI 铝/硅靶 此为金属溅镀时所使用的一种金属合金材料利用Ar(氩)游离的离子,让其撞击此靶的表面,把Al/Si的原子撞击出来,而镀在芯片表面上,一般使用的组成为Al/Si (1%),将此当作组件与外界导线连接。

5. AL/SI/CU 铝/硅/铜 金属溅镀时所使用的原料名称,通常是称为TARGET,其成分为0.5﹪铜,1﹪硅及98.5﹪铝,一般制程通常是使用99﹪铝1﹪硅,后来为了金属电荷迁移现象、(ELECTROMIGRATION)故渗加0.5﹪铜,以降低金属电荷迁移。

6. ALUMINUN 铝 此为金属溅镀时所使用的一种金属材料,利用Ar(氩)游离的离子,让其撞击此种材料做成的靶表面,把Al的原子撞击出来,而镀在芯片表面上,将此当作组件与外界导线的连接。

7. ANGSTRON 埃 埃是一个长度单位,其大小为1公尺的百亿分之一,约为人的头发宽度的五十万分之一。此单位常用于IC制程上,表示其层(如SiO2,Poly,SiN….)厚度时用。

8. APCVD(ATMOSPRESSURE) 常压化学气相沉积 APCVD为Atmosphere(大气),Pressure(压力),Chemical(化学),Vapor(气相)及Deposition(沉积)的缩写。

9. 烘烤(Bake):在集成电路芯片上的制造过程中,将芯片至于稍高温(60℃~250℃)的烘箱内或热板上均可谓的烘烤,随其目的的不同,可区分微软烤(Soft bake)与预烤(Hard bake)。软烤(Soft bake):其使用时机是在上完光刻胶后,主要目的是为了将光刻胶中的溶剂蒸发去除,并且可增加光刻胶与芯片的附着力。预烤(Hard bake):又称为蚀刻前烘烤(pre-etch bake),主要目的为去除水气,增加光刻胶附着性,尤其在湿蚀刻(wet etching)更为重要,预烤不够长会造成过蚀刻。

10.BOAT 晶舟 Boat原意是单木舟,在半导体IC制造过程中,常需要用一种工具作芯片传送、清洗及加工,这种承载芯片的工具,我们称的为Boat。一般晶舟有两种材质,一是石英、另一是特氟龙。石英晶舟用在温度较高(大于300℃)的场合。而特氟龙晶舟则用在传送或酸处理的场合。

11.CH3COOH 醋酸 AETIC ACID 醋酸澄清、无色液体、有刺激性气味、熔点16.63℃、沸点118℃。与水、酒精、乙醚互溶。可燃。冰醋酸是99.8﹪以上的纯化物,有别于水容易的醋酸食入或吸入纯醋酸有中等的毒性,对皮肤及组织有刺激性,危害性不大,被溅到用水冲洗。

12.CHAMBER 真空室,反应室 专指一密闭的空间,常有特殊的用途:诸如抽真空、气体反应或金属溅度等。针对此特殊空间的种种外在或内在环境:例如外在颗粒数(particle)、湿度及内在温度、压力、气体流量、粒子数等加以控制。达到芯片最佳反应条件。

13.CMOS 互补式金属氧化物半导体(MOS,METAL-OXIDE SEMICONDUCTOR)其制程程序是先在单晶硅上形成绝缘氧化膜,再沉积一层多晶硅(或金属)作为栅极,利用加到栅极的电场来控制MOS组件的开关(导电或不导电)。按照导电载流子的种类,MOS,又可分成两种类型:NMOS(由电子导电)和PMOS(由空穴导电)。而互补式金属氧化物半导体(CMOSCOMPLEMENTARY MOS)则是由NMOS及PMOS组合而成,具有省电、抗噪声能力强等许多优点,是超大规模集成电路(VLSI)的主流。

14.COATING 光刻胶覆盖 将光刻胶以浸泡、喷雾、刷布、或滚压等方法加于芯片上,称为光刻胶覆盖。目前效果最佳的方法为旋转法;旋转法乃是将芯片以真空吸附于一个可旋转的芯片支持器上,适量的光刻

胶加在芯片中央,然后芯片开始转动,芯片上的光刻胶向外流开,很均匀的散在芯片上。而旋转速度和光刻胶黏滞性绝应所镀光刻胶的厚度。光刻胶加上后,必须经过软烤的步骤,以除去光刻胶中过多的溶剂,进而使光刻胶膜较为坚硬,同时增加光刻胶膜与芯片的接合能力的主要方法就是在于适当调整软烤温度与时间。经过了以上的镀光刻胶膜即软烤过程,也就是完成了整个光刻胶覆盖的步骤。

15.CWQC 全公司品质管制 品质管制为达到经营的目的,必须结合公司内所有部门全体人员协力合作,构成一个能共同认识,亦于实施的体系,并使工作标准化,且使所定的各种事项确实实行,使自市场调查、研究、开发、设计、采购、制造、检查、试验、出货、销售、服务为止的每一阶段的品质都能有效的管理,这就是所谓的全公司品质管制(Company Wide Quality Control)。实施CWQC的目的最主要的就是要改善企业体质;即发觉问题的体质、重视计划的体质、重点指向的体质、重视过程的体质,以及全员有体系导向的体质。

16.CYCLE TIME 生产周期时间 指原料由投入生产线到产品于生产线产生所需的生产/制造时间。生产周期有两种解释:一为“芯片产出周期时间(”WAFER-OUT CYCLE TIME ),一为“制程周期时间(”PROCESS CYCLE TIME)。一般而言,生产周期时间可以下列公式概略推算的:生产周期时间=在制品(WIP)/产能(THROUGHOUT)。IC制造流程复杂,且其程序很长,自芯片投入至晶圆测试完成,谓的Cycle Time。由于IC生命周期很短,自开发、生产至销售,需要迅速且能掌握时效,故Cycle Time越短,竞争能力就越高,能掌握产品上市契机,就能获取最大的利润。由于Cycle Time 长,不容许生产中的芯片因故报废或重做,故各项操作过程都要依照规范进行,且要做好故障排除让产品流程顺利,早日出FIB上市销售。

17.DEHYDRATION BAKE 去水烘烤 目的:去除芯片表面水分,增加光刻胶附着力。以免芯片表面曝光显影后光刻胶掀起。方法:在光刻胶覆盖的前,利用高温(120℃或150℃)加热方式为的。

18.DENSIFY 密化 CVD沉积后,由于所沉积的薄膜(THIN FILM的密度很低),故以高温步骤使薄膜中分子重新结合,以提高其密度,此种高温步骤即称为密化。密化通常以炉管在800℃以上的温度完成,但也可在快速升降温机台(RTP;RAPID THERMAL PROCESS)完成。

19.DIFFUSION 扩散 在一杯很纯的水上点一滴墨水,不久后可发现水表面颜色渐渐淡去,而水面下渐渐染红,但颜色是越来越淡,这即是扩散的一例。在半导体工业上常在很纯的硅芯片上以预置或离子注入的方式作扩散源(即红墨水)。因固态扩散比液体扩散慢很多(约数亿年),故以进炉管加高温的方式,使扩散在数小时内完成。

20.DI WATER 去离子水 IC制造过程中,常需要用盐酸容易来蚀刻、清洗芯片。这些步骤的后又需利用水把芯片表面残留的盐酸清除,故水的用量相当大。然而IC工业用水,并不是一般的自来水或地下水,而是自来水或地下水经过一系列的纯化而成。原来自来水或地下水中含有大量的细菌、金属离子级颗粒(particle),经厂务的设备将的杀菌、过滤和纯化后,即可把金属离子等杂质去除,所得的水即称为〝去离子水〞,专供IC制造的用。

21.DOPING 掺入杂质 为使组件运作,芯片必须掺以杂质,一般常用的有:预置:在炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱进扩散;或利用沉积时同时进行预置。离子注入:先使杂质游离,然后加速注入芯片。

22.DRIVE IN 驱进 离子注入(ion implantation)虽然能较精确地选择杂质数量,但受限于离子能量,无法将杂质打入芯片较深(um级)的区域,因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温

度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将注入时产生的缺陷消除。此方法称的驱进。在驱进时,常通入一些氧气,因为硅氧化时,会产生一些缺陷,如空洞(Vacancy),这些缺陷会有助于杂质原子的扩散速度。另外,由于驱进原子的扩散,因此其方向性是各方均等,甚至有可能从芯片逸出(out-diffusion),这是需要注意的地方。

23.ELECTROMIGRATION 电子迁移 所谓电子迁移,乃指在电流作用下金属的质量会搬动,此系电子的动量传给带正电的金属离子所造成的。当组件尺寸越缩小时,相对地电流密度则越来越大;当此大电流经过集成电路中的薄金属层时,某些地方的金属离子会堆积起来,而某些地方则有金属空缺情形,如此一来,堆积金属会使邻近的导体短路,而金属空缺则会引起断路。材料搬动主要原动力为晶界扩散。有些方法可增加铝膜导体对电迁移的抗力,例如:与铜形成合金,沉积时加氧等方式。

24.ELECTRON/HOLE 电子/ 空穴 电子是构成原子的带电粒子,带有一单位的负电荷,环绕在原子核四周形成原子。空穴是晶体中在原子核间的共享电子,因受热干扰或杂质原子取代,电子离开原有的位置所遗留下来的“空缺”因缺少一个电子,无法维持电中性,可视为带有一单位的正电荷。

25.EPI WAFER 外延晶圆,在晶体表面生长一层晶体。

26.ETCH 蚀刻 在集成电路的制程中,常需要将整个电路图案定义出来,其制造程序通常是先长出或盖上一层所需要的薄膜,在利用微影技术在这层薄膜上,以光刻胶定义出所欲制造的电路图案,再利用化学或物理方式将不需要的部分去除,此种去除步骤便称为蚀刻(ETCH)一般蚀刻可分为湿性蚀刻(WET ETCH)及干性蚀刻(DRY ETCH)两种。所谓干性蚀刻乃是利用化学品(通常是盐酸)与所欲蚀刻的薄膜起化学反应,产生气体或可溶性生成物,达到图案定义的目的。而所谓干蚀刻,则是利用干蚀刻机台产生等离子体,将所欲蚀刻的薄膜反映产生气体由泵(PUMP)抽走,达到图案定义的目的。

27.EXPOSURE 曝光 其意义略同于照相机底片的感光在集成电路的制造过程中,定义出精细的光组图形为其中重要的步骤,以运用最广的5X STEPPER为例,其方式为以对紫外线敏感的光刻胶膜作为类似照相机底片,光罩上则有我们所设计的各种图形,以特殊波长的光线(G-LINE 436NM)照射光罩后,经过缩小镜片(REDUCTION LENS)光罩上的图形则成5倍缩小,精确地定义在底片上(芯片上的光刻胶膜)经过显影后,即可将照到光(正光刻胶)的光刻胶显掉,而得到我们想要的各种精细图形,以作为蚀刻或离子注入用。因光刻胶对于某特定波长的光线特别敏感,故在黄光室中早将一切照明用光源过滤成黄色,以避免泛白光源中含有对光刻胶有感光能力的波长成分在,这一点各相关人员应特别注意,否则会发生光线污染现象,而扰乱精细的光刻胶图。

28.FABRICATION(FAB) 制造 Fabrication为“铸造”的意思,半导体制造程序,其步繁多,且制程复杂,需要有非常精密的设备和细心的作业,才能达到无缺点的品质。FAB是Fabrication的缩写,指的是“工厂”的意思。例如:进去“FAB”的前需穿上无尘衣。

29.FOUNDRY 客户委托加工 客户委托加工主要是接受客户委托,生产客户自有权利的产品,也就是客户提供光罩,由FMI来生产制造,在将成品出售给客户,指收取代工过程费用,这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就是硅代工(Silicon Foundry)。

30.FOUR POINT PROBE 四探针探测 是量测芯片阻值(Sheet Resistance)RS的仪器。原理如下:有ABCD四针,A、D间通以电流I,B、C两针量取电压差(△V),则RS=K.△V/I K是常数比例和机台及针尖距离有关。四探针测试仪有四个细小的,与能源和伏特计相连内嵌式探针。一个四探针测试仪由四个排

成一条线的细小金属探针组成。外侧的两个探针接能源,内侧的两个探针连接伏特计。 测量过程中,电流流过外侧的两个探针,并且通过内侧探针测量得出电压的变化值。电流与电压之间的关系由探针之间的距离和材料的电阻率共同决定。

31.GATE OXIDE 闸极氧化层 GATE OXIDE是MOSFET(金氧半场效晶体管)中相当重要的闸极的下的氧化层。此氧化层厚度较薄,且品质要求也较严格。

32.H2SO4 硫酸 为目前最广泛使用的工业化学品。强力腐蚀性、浓稠、油状液体,依纯度不同,由无色至暗棕色,与水以各种不同比例互溶,非常具有活性。溶解大部分的金属。浓硫酸具氧化、脱水、磺化大部分的有机化合物,常常引起焦黑。比重1.84,沸点315℃。与水混合时需格外小心,由于放热引起爆炸性的溅泼,永远是将酸加到水中,而非加水至酸中。不小心被溅到,应用大量水冲洗。目前在线上,主要用于清洗及光刻胶去除。

33.H3PO4 磷酸 PHOSPHORIC ACID 磷酸无色无谓起泡液体或透明晶形固体。依温度、浓度而定。在20℃,50﹪及75﹪强度为易流动液体,85﹪为似糖浆,100﹪酸为晶体。比重1.834,熔点42.35℃。在213℃形成焦磷酸。溶于水、乙醚,能腐蚀铁及合金。对皮肤、眼睛有刺激性,不小心溅到,可用水冲洗。目前磷酸用于SI3N4的去除,浓度是85﹪,沸点156℃,SI3N4与SIO2的蚀刻比约为30:1。

34.HCL 氯化氢(盐酸) Hydrochloric Acid盐酸,为无色或淡黄色,发烟,刺激性液体。氯化氢的水溶液。盐酸是一种强烈酸性及高腐蚀性酸。氯化氢溶解在水中有各种不同的浓度。可溶于水、酒精、苯、不可燃。用途广泛。可用金属的酸洗与清洁。不小心被溅到,用大量水冲洗。目前线上,主要用于RCA清洗。

35.HEPA 高效率过滤器 HEPA(High Efficiency Particulate Air Filter)为洁净室内用以滤去微粒的装置,一般以玻璃纤维制成,可将0.1μm 或0.3μm以上的微粒滤去99.97﹪。层流台能保持Class100以下的洁净度,即靠HEPA达成。目前除层流台使用HEPA外,其它如烤箱、旋转机,为了达到控制颗粒(particle)的效果,也都装有HEPA的设计。

36.HNO3 硝酸 透明、无色或微黄色、发烟、易吸湿的腐蚀性液体,能腐蚀大部分金属。呈黄色是由于曝光所产生的二氧化氮,为强氧化剂,可与水混合,沸点78℃,比重1.504。IC产业中硝酸用于清洗炉管,但对皮肤有腐蚀性,为强氧化剂,与有机物接触有起火危险。清洗炉管用。

37.LOAD LOCK 传送室 用来隔绝反应室与外界大器直接接触,以确保反应室内的洁净,降低反应是受污染的程度。一般用于等离子体蚀刻及金属溅镀等具有真空反应室的设备。 38. LOT NUMBER 批号 批号是线上所有材料的身份证。

39.LPCVD(LOW PRESSURE) 低压化学气相沉积 LPCVD的全名是Low Pressure Chemical Vapor Deposition,即低压化学气相沉积。这是一种沉积方法。在IC制程中,主要在生成氮化硅、复晶硅、二氧化硅及非晶硅等不同材料。

40.MASK光罩MASK原意为面具,而事实上光罩在整个IC制作流程上,所扮演的角色和面具也有几分神似。光罩主要的用途在于利用光刻胶制程,将我们所需要的图形复印在芯片上,制作很多的IC芯片。根据其制作的材质又可分为石英光罩 (QUARTZ),绿玻璃光罩等。

41.MICRO,MICROMETER,MICRON 微米 定义:Micro为10的-6次方 1 Micro=10的-6次方,1

Micrometer =10的-6次方 m=1 Micro=1μm通常我们说1μ即为10的-6次方 m又因为1埃=10的-8次方㎝=10的-10次方m(原子大小)故1μ=10,000埃约唯一万个原子堆积而成的厚度或长度。

42.MOS 金属氧化物半导体 定义:构成IC的晶体管结构可分为两型-双极型(bipolar)和MOS型(Metal-Oxide-Semiconductor)。双极型IC的运算速度较快但电力消耗较大,制造工程也复杂,并不是VLSI的主流,而MOS型是由场效应晶体管(FET)集成化而成。先在硅上形成绝缘氧化膜的后,再由它上面的外加电极(金属或复晶硅)加入电场来控制其动作,制程上比较简单,也较省电,最早成为实用化的是P-MOS,但其开关速度较慢,不久更高速的N-MOS也被采用。一旦进入VLSI的领域的后,NMOS的功率消耗还是太大了于是由P-MOS及 N-MOS组合而成速度更高、电力消耗更少的互补式金属氧化物半导体(CMOS,Complementary MOS)遂成为主流。

43.N2,NITROGEN 氮气 定义:空气中约4/5是氮气。氮气是一安定的惰性气体,由于取得不难且安定,故Fab内常用以当作Purge(净化)管路,除去脏污、保护气氛、传送气体(Carrier Gas)、及稀释(Dilute)用途。另外,氮气在零下196℃(77F)以下即以液态存在,故常被用作真空冷却源。现在Fab内Clean House用的氮气为厂务提供的99.999﹪纯度氮气,生产线路所用的氮气为瓶装更高纯度氮气。因氮气的用量可局部反应生产成本,故应节约使用以降低成本。

44.N/P TYPE SEMICONDUCTOR N/P型半导体 定义:一般金属由于阻值相当低(10-2Ω-㎝以下),因此称的为导体,而氧化物阻值高至105Ω/㎝以上,称为绝缘体。若阻值在0.01~105Ω/㎝的间,则名为半导体。IC工业使用的硅芯片,阻值就是在半导体的范围,但由于Si(硅)是四价键结(共价键)的结构,若掺杂有如砷(As)磷(P)等五价元素,且占据硅原子的地位(Sub-situational Sites),则多出一个电子,可用来导电,使导电性增加,称的为N型半导体。若掺杂硼(B)等三价元素,且仍占据硅原子的地位,则键少了一个电子,因此其它键的电子在足够的热激发下,可以过来填补,如此连续的电子填补,称的为空穴传导,也使硅的导电性增加,称的为P型半导体。因此N型半导体中,其主要带电粒子为带负电的电子,而在P型半导体中,则为带正电的空穴。在平衡状况下(室温)不管N型或P型半导体,其电子均与空穴浓度的乘积值不变。故一方浓度增加,另一方即相对减少。

45.OXYGEN 氧气 OXYGEN氧气无色,无气味,无味道双原子气体。在-183℃液化成浅蓝色的液体,在218℃固化。在海平面上,空气中约占20﹪体积的氧,溶于水和乙醚,不可燃,可以助燃。在等离子体光刻胶去除中,氧气主要用来去除光刻胶用。在等离子体干蚀刻中,氧混入CF4气体中,可增加CF4气体的蚀刻速度。目前氧气主要用途在于等离子体光刻胶去除;利用氧气在等离子体中产生氧的自由基(RADICAL)与光刻胶中的有机物反应,产生二氧化碳和水气体蒸发,达到去除光刻胶的效果。

46.P 磷 ?自然界元素之一。由15个质子及16个中子所组成。?离子注入的磷离子,是由气体PH3经灯丝加热分解得到的3 L P+离子,借着Extraction 抽出气源室经加速管加速后,布植在芯片上。?是一种N-type离子,用做磷注入,S/D注入等。

47.PARTICLE CONTAMINATION颗粒污染:由于芯片制造过程甚为漫长,经过的机器、人为处理操作过程甚为繁杂,但机器、人为均获多或少会产生一些颗粒,这些尘粒一但沾附到芯片上,集会造成污染影响,而伤害到产品品质与良率,此即『颗粒污染』,我们在操作过程中应时时防着各项颗粒污染来源。

48.PECVD 等离子体CVD 定义:CVD化学反应所须知能量可以是热能、光能或等离子体。以等离子体催化的CVD称作PECVD。PECVD的好处是反应速度快、较低的基版温度及Step Coverage;缺点是产生较大的应力,现Fab内仅利用PECVD做氮化硅护层。PECVD英文全名为Plasma Enhancement CVD。

49.PH3 氢化磷 定义:一种半导体工业的气体,经灯丝加热供给能量后,可分解成P4,PH4、PH2(及H4)。可由质谱谱场分析出来,做N-type离子注入用。

50.PHOTORESIST 光刻胶 光刻胶为有机材料,是利用光线照射时的有机物质进行光化学反应而产生分子结构变化,在使用溶剂使的显像。目前一般商用光刻胶主要含有二部分(1)高分子树酯(2)光活性物质,工作原理不同可分为正,负两类:(1)正型:光活性物质为 DIAZOQUINOUE类,照光前难溶 于碱液中,有抑制溶解树酯功能, 照光后产生羧酸,反有利于碱液 溶解,因此可区分曝光区与非曝光区。(2)负型:光活性物质为DIAZIDE类, 照后生成及不安定的双电子自由 基,能与高分子树酯键结,而增加 分子量,选择适当溶剂便可区分曝 光区与非曝光区。目前SMIC使用的正、负光刻胶,皆为适用于G-LINE(436NM)制程的光刻胶。

51.PILOT WAFER 试作芯片 Pilot Wafer为试作芯片,并非生产芯片(Prime Wafer)。在操作机器前,为了确定机器是否正常所作的试片,或机器作完维修、保养后所作的测试用芯片均称为Pilot Wafer。由于Pilot Wafer所做出来的结果将决定该批的制程条件。故处理Pilot Wafer时,所抱持的态度必须和处理Prime Wafer一样慎重。

52.PINHOLE 针孔 在光刻胶制程所谓的针孔,就是在光刻胶覆盖时,光刻胶薄膜无法完全盖住芯片表面,而留有细小如针孔般的缺陷,在蚀刻制程时,很可能就被蚀刻制程穿透而致芯片的报废。在以往使用负光刻胶制程时,由于负光刻胶粘稠性较大,覆盖较薄,因此容易出现针孔,固有些层次(如CONTACT)必须覆盖两次,才能避免针孔的发生。目前制程大多使用正光刻胶,覆盖较厚,已无针孔的问题存在。

53.PLASMA ETCHING 离子体蚀刻 定义:在干蚀刻技术中,一班多采用等离子体蚀刻与活性离子蚀刻,通常等离子体蚀刻使用较高的压力(大于200mT)及较小的RF功率,当芯片浸在等离子体的中,暴露在离子体的表面层原子或分子与等离子体中的活性原子接触并发生反应形成气态生成物而离开晶面造成蚀刻,此类蚀刻即称的为等离子体蚀刻。

54.POCL3 三氯氧磷 定义:一种用作N型扩散的化合物。通常以N2为“载气”(Carrier Gas),带着POCl3和O2(氧气)一起进入高温炉管,然后产生反应,在反应过程中,磷沉淀于硅表面,同时硅表面亦形成一氧化层。

55.POLY SILICON 多晶硅 SILICON是IC制造的主要原料之一。其结构是复晶结构,其结晶的结构是多方向的,而非单一方向。POLY SILICON通常用低压化学气相沉积的方法沉积而得。其主要用途在作MOS的闸极及单元的接连。

56.PRESSURE 压力 定义:气体分子撞击反应室的器璧所产生的力量。气体分子越少、压力越低。反的气体分子越多、压力越高。如压力<大气压力时,表示真空,其压力单位即为真空度。 1大气压=1atm=760mmHg水银柱压力 1Torr(托)=1/760atm=1mmHg。如压力>大气压力时,即用单位面积所受的重量表示,如㎏每平方㎝ 。一般等离子体蚀刻机的压力为50millitorr~0.5Torr。一般使用的气瓶的压力约为500psi~2000PSI。

57.REFLOW 回流 回流是IC制造中医种特殊技术。做法是将磷或硼或两者合一,掺入二氧化硅中(常用CVD方式)。的后将芯片推入高温炉管一段时间,该二氧化硅层(PSG BPSG或BSG)即会『流动』,使芯片表面变得较平坦。此即回流平坦化技术。回流取该氧化层『重新流动』的意。

58.RESISTIVITY 阻值

定义:物理学上定义阻值(Ω,即欧姆)为R=△V/I在物体两截面上通以定电流V,量得电压降△V,则 △V/I即为这物体的阻值.

59. REWORK/SCRAP/WAIVE 修改/报废/签过 修改:分ADI修改,AEI修改ADI修改:将光刻胶去除,重新上新光刻胶,已定义新的或精确的图形。AEI修改:将已沉积或氧化的厚厚或薄层去除,重新沉积或氧化。报废:芯片受污染或流程不合规范上的规定,造成芯片有可能无良率,则停止流程不继续生产。签过:当芯片流程至某步骤时,发现图形或规格不合于规范内的规定,但其影响不致使芯片达报废的程度,可由工程师签署,继续流程。

60.SCRUBBER 刷洗机 在沉积或蚀刻制程的后常会有些微尘落在芯片表面,此种微尘可刷洗去除,避免对良率的伤害。依照膜的性质,及机台的特性不同,通常我们有下列5种不同刷洗方式:- 去离子水冲洗- 毛刷刷洗- 高压水刷洗- 毛刷加高压水刷洗- 芯片双面刷洗

61.SILICIDE 硅化物 硅化物(Silicide),指耐火金属(Refractory Metal)的硅化物,如钛(Ti)、钨(W)、钼(Mo)等与元素硅(Si)结合而成的化合物(TiSi2、Wsi2、MoSi2)。硅化物应用在组件的目的,主要为降低金属与硅接口]、闸极或晶体管串联的阻抗,以增加组件的性能。

62.SILICON 硅-SI(全文SILICON)为自然界元素的一种,意即我们所使用的硅芯片组成元素,再元素周期表中排行14,原子量28.09,以结晶状态存在(重复性单位细胞组成),每一单位细胞为由一个硅原子在中心与其它4个等为硅原子所组成的四面体(称为钻石结构)如图标中心原子以其4个外围共价电子与邻近的原子其原型或其价件的结合。硅元素的电子传导特性介于金属导体与绝缘体材料的间(故称为半导体材料),人类可经由温度的变化、能量的激发及杂质掺入后改变其传导特性,再配合了适当的制程步骤,便产生许多重要的电子组件,运用在日常生活中。

63.S.O.G.(SPIN ON GLASS) 旋制氧化硅是利用旋制芯片,将含有硅化物的溶液均匀地平涂与芯片上,在利用加热方式与溶剂驱离,并将固体硅化物硬化程稳定的非晶相氧化硅。其简单流程如下:旋转平涂→加热烧烤→高温硬化(~450℃)旋制氧化硅是应用在组件制造中,金属层间的平坦化(Planization)。以增加层与层的间的结合特性,避免空洞的形成及膜的剥离。

64.SPECIFICATION(SPEC) 规范 规范是公司标准化最重要的项目之一,它规定了与生产有关事项的一切细节,包括机台操作、洁净室、设备、保养、材料、工具及配件、品管、可靠性、测试等等。IC制造流程复杂。唯有把所有事项的规范清楚并确实遵照规范执行,检验规范是否合理可行,相关规范是否有冲突,达到自主管理及全员参与标准化的目的。

65.TARGET 靶 一般用在金属溅镀(SPUTTERING)也就是以某种材料致造成各种形状,因此『靶』当作金属薄膜溅镀的来源。

66.THROUGH PUT 产量 定义:Through Put为单位工时的产出量,例如某机器每小时生产100片,则称其Through Put为100片/每小时。如果每天运作21小时,则每天的Through Put为2100片/天。IC工业系许多昂贵且精密的设备投资,故必须充分利用,维持生产的顺畅,发挥其最大的效能。故高的Through Put为我们评估机器设备的一项很重要的因素之一。除了设备上发挥其最大产能外,必须要配合人为的力量:如流程安排、故障排除等,亦即必须“人机一体”才能发挥生产的整体效益,达到最高的生产力。

67.VACUUM 真空 定义:真空是针对大气而言——特定空间内的部分气体被排出,其大气压力小于一大气压。表示真空的单位相当多,在大气的情况下,通称为一大气压,也可表示为760torr或760mmHg或14.7psi。真空技术中将真空一压力大小分为四个区域:A粗略真空(Rough Vacuum)B中度真空(Medium Vacuum)C高真空(High Vacuum)D超高真空(Ultra- High Vacuum)。方法:在不同真空,气体流动的形式与传导性等均有所差异,简略而言:在粗略真空气体的流动称的为粘滞流(Viscous Flow)。其气体分子间碰撞频繁,且运动具有方向性;在高真空或超高真空范围,气体流动称为分子流(Molecular Flow),其气体分子间碰撞较少,且少于气体与管壁碰撞的次数,气体分子运动为随方向,不受抽气方向影响。在热导性方面:中度真空的压力范围其与压力成正比关系,粗略真空与高真空区域则无此关系。

68.VACUUM PUMP 真空泵 凡能将特定空间内的气体去除以减低气体分子数目,造成某种程度只真空状态的机件,通称为真空泵。目前生产机台所使用的真空泵可分为抽吸式:旋片泵(ROTARY PUMP)、活塞泵(PISTON PUMP)、扩散泵(DIFFUSION PUMP)。储气式:冷冻泵(CRYO PUMP)、离子泵(ION PUMP)

69.YELLOW ROOM 黄光室 黄光室(Yellow Room)就是所有光源(照明用)均为黄色光波波长的区域。由于IC晶方内的图案均有赖光刻胶剂(Photo resist)覆盖在芯片上,再经曝光,显影而定型;而此光刻胶剂遇光线照射,尤其是紫外线(UV)即有曝光的效果,因此在显影完毕以前的生产,均宜远离此类光源。黄光的光波较长,使光刻胶剂曝光的效果很低,因此乃作为显影前的照明光源。

FAB区常用词汇

Air Shower 风淋室 Alarm 警讯 Average 平均 Area 区域 Abort 放弃 Acid 酸

Auto/Manual 自动/手动 AMHS 自动化物料传输系统 Batch 群;组 Bay 工作区 Backup 备用 Bank 储存所 Cancel 取消 Clean room 无尘室 Cassette 芯片晶舟 Chemical 化学药剂 Check 检查;核对 CIM 电脑整合制造 Class 洁净室等级 CMP 化学机械研磨 Child lot 子批 Correct 正确 Cycle time 生产周期 Code 代码 Control 控制 Chip (die) 晶粒 Comment 注解 Control Wafer 控片 Confirm 确认 Cart 手推车 CD 关键性尺寸 Chart 图表

Dummy wafer 挡片 Daily check 每天检查 Diffusion 扩散 DI water 去离子水 Damage 损害 Display 展示 Double 重复;加倍 Defect 缺陷 Doping 掺杂 Downgrade 降级

Due date 交期 Discipline 纪律 Etch 蚀刻 Error 错误

EE 设备工程师 Emergency 紧急状况 Exit 退出 Entry 进入

Energy 能量 EQ Status 机台状态 Foundry 代工 Fail 失败 FAB 工厂 Filter 过滤器 Function 功能

Gas 气体 Gowning room 更衣室 Hold 暂停 Hot bake 烘烤 I.C 集成电路 Idle 闲置 Implant 植入 IPA 有机清洁溶剂 Layer 层次 Lot 批

Line 线距 Lot Status 产品状态 Load 载入 Login/logout 注册/离开 Log sheet 记录本 Logo 标志 Location 位置 Login 登录 Logout 退出 Laundry 洗衣房

Machine 机器 Module 部门 Move 产量 Monitor 测机 Merge 合并 Micron 微米 Metal 金属 MFG 制造部 Mark 标志 Mask (reticle) 光罩 Manual 手动 Measure 测量 Mapping 映射 Mode 模式 Message 讯息 Mean 平均值 Measure 测量

Non-critical 非重要 Out of spec control Oxide 氧化物 Owner 拥有者 O.I 操作指导手册 OPI 操作界面 OOS 超出规格界线 OOC 超出控制界线 OCAP 超出管制界线的相应对策

Pod 装晶舟与芯片的盒子 Photo 黄光或微影 Particle 颗粒 Password 密码 Poly 复晶 Passivation 保护层 Polymer 聚合物 Profile 侧面 Power 电源 PN 制造通报

P.R. 光阻 Parent lot 母批

Pause 暂停 Process 制程/过程/程序 PE 制程工程师 Peeling 掀起 Pattern 图形 Priority 优先权 Product 产品 PM 预防保养

Quality 品质 Certify (Qualify) 取得资格/认证合格 Quantity(QTY) 数量 Queue time 等待时间 Recipe 工艺菜单 Rework 返工/重做 Robot 机械手臂 Run 跑(货) Release 放行 Run Card 流程卡 Ready 准备 Recycle 回收 Reclaim 再生 Remove 去除 Request 要求 Reject 退回(出) Range 范围 Record 记录

Review 检查、回顾 Retest 再测试 Rack 货架

Semiconductor 半导体 Scrap 报废 Si 硅原子 Start 开始

Spec 规格 Schedule 指目录或时间表 Step 步骤 Smif Arm 标准机械界面 Smart Tag 电子式标签 Ship 传送 Scrubber 洗刷 Space 空间 SOP 标准操作程序 Stocker 仓储 Split 分批 Shut down 停工 Sticker 标签 Stop 停止

Standby 准备,待命 SPC 制程统计管制 Set up 设置 SPC-Chart 制程统计控制图形 Slot 槽位 Solvent 溶剂

Scratch 刮伤 Sorter 芯片分片/整理机 Summary 总计 Strip 剥去 Track in 进货 Transfer 传送

Test Wafer 测试芯片 Throughput 产量 Thickness 厚度 TECN 临时工程变更通知 Track out 出货 Tag 标签 Thin Film 薄膜 Temperature 温度 Turn Ratio 周转率(T/R) Vacuum 真空

WAT 晶圆测试 Wafer 晶圆 Wafer Start 芯片投入 WIP 在制品 Wet Bench 酸槽 Well 井区

W (Tungsten) 钨 WPH 每小时机台产出芯片数 Wait 等待 Warning 警告 Wiper 擦拭布 Yield 良率

工作区常用词汇

DIFF Area (扩散区)

单字 解释 单字 解释

Pad Oxide 垫氧化层 Gate Oxide 闸氧化层 Field Oxide 场氧化层 Density 密化

BPSG 含硼及磷的硅化物 Backside Etch 背面蚀刻 Anneal 回火 Nitride (Si3N4) 氮化硅 Furnace 炉管

Alloy 融合:电压与电流成线性关系,降低接触的阻值 TEOS 通常当作绝缘曾使用

A.M.U 原子质量数 High-Current 高电流 Angle 角度 High-Energy 高能量

Beam-Current 电子束电流 Mid-Current 中电流 Depth 深度 Magnet 磁场 Dose 剂量 Source-Head 离子源 High-Voltage 高压

ETCH Area (蚀刻区)

单字 解释 单字 解释

AEI 蚀刻后检查 Under Etch 蚀刻不足 ASI 光阻去除后检查 Metal Via 金属接触窗 Contact Hole 引线孔 Over Etch 过蚀刻 Descum 去渣 Plasma 电浆 Dry Clean 干洗 Season 暖机

SEM 扫描式电子显微镜 Selectivity 选择比 End Point 蚀刻终点 Electrode 电极

PHOTO Area (黄光区)

单字 解释 单字 解释

Coating 涂布,光阻覆盖 Execute 执行 Coater 光阻覆盖(机台) Flatness 平坦度 Developer 显影液;显影(机台) Focus 焦距 Development 显影 Local 当地的,本地的

IC里 四乙基氧化硅:在Diagnosis 诊断,诊断结果,stepper机台执行测机时之按键 Local defocus 局部失焦因机台或芯片造成之脏污

Dose 曝光量 Lamp 灯 Offset 弥补 Over flow 溢出

Overlay 测量前层与本层之间曝光的准确度 (套刻) Spin 旋转 Spin Dry 旋干 P.R. Photo Resist 光阻 Rotation 旋转 Pilot 试生产 Search 寻找 Query 疑问 WEE 周边曝光

Queue time 等待时间 Register 记录,登记 Rinse 洗濯 Reticle 光罩

THINFILM Area (薄膜区)

单字 解释 单字 解释

PVD 物理气相淀积 CVD 化学气相淀积 IMD 内金属介电层 ILD 内层介电层 ARC 防反射层 Sputter 溅射 RF 射频 HDP 高密度等离子体 Ti 钛 TiN 氮化钛

Stress 内应力 Resistance 电阻 A

AATE (automated analogue test equipmen)自动模拟测试设备 Abformung 塑铸

ABS (anti-skid brake system)汽车防抱死系统(汽车防滑煞车系统) Accelerated motion 加速运动 Accelerator 加速器 Accelerometer 加速计

acceptance testing (WAT: wafer acceptance testing) 验收测试(WAT:晶圆验收测试) acceptor 受主,如B,掺入Si中需要接受电子 Accessory 附件,零件,附加物, Acetic 乙酸的 Acetone 丙酮 Acid 酸的

ACPI(Advanced Configuration and Power Interface,先进设置和电源管理) Active device有源器件,如MOS FET(非线性,可以对信号放大) Actuator 激励器

adaptive antenna 自适应天线

adaptive delta modulation (ADM) 自适应增量调制

ADC (analog-to-digital converter)模拟数据转换器(模数转换器) Address complement pattern 补码地址图形 Adhesive 粘合性,胶粘;带粘性的 Adsorption 吸附

AE/up efficiency (available efficiency可用效率 AGP(Accelerated Graphics Port)图形加速接口

Air separator 空气分离器,风选器,风力选矿机; 除气设备 Aisle/corridor 走廊,过道

ALD (atomic-layer deposition)原子层沉积 ALE (atomic layer epitaxy) 原子层外延 Align mark(key) 对位标记 Alignment accuracy 对准精度 Alkali 碱的

Alkaline 碱的,碱性的 Alloy 合金

ALU (analogue lines unit)模拟用户线单元 Aluminum plug 铝插塞 Aluminum 铝

Ambient temperature 环境温度

AMLCD (Active Matrix Liquid Crystal Display) 有源矩阵液晶显示 Ammonia 氨水

Ammonium fluoride (NH4F )氟铵酸 Ammonium hydroxide (NH4OH )氢氟化铵 Amorphous semiconductor:非晶态半导体

Amorphous silicon(αloch-Si)非晶硅(不是多晶硅) Amorphous 无定形的,无组织的 Analog 模拟 Anchor point 定位点

Angstrom A(1E-10m)埃(一亿分之一厘米, 用作测量波长的单位, 代号) Anisotropic 各向异性(如POLY ETCH) Anneal 退火,焖火

Annealing technology 退火工艺 Anode 阳极,正极 Antimony 锑

API( application programming interface)应用程序界面

AQL(Acceptance Quality Level) 接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)

ARC(Antireflective coating) 抗反射层(用于METAL等层的光刻) Argon(Ar)氩

Arsenic trioxide(As2O3)三氧化二砷 Arsenic(As)砷 Asher 去胶机

ASIC (application specific integrated circuit) 专用集成电路 Aspect ration形貌比(ETCH中的深度、宽度比) ASSP(application specific standard products) 专用标准产品 ATE (automated testing equipment) 自动测试设备

ATPG (automatically/algorithmic test pattern generatio)测试图形自动发生器 Audio 音频 Audion 三极管 Auto music 车载音乐

Auto doping自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) automatic (dynamic) compression自动(动态)压缩 automatic power control (APC) 自动功率控制 Automatic test program generation:自动测试程序生成 automatic test 自动测试 B

Back end后段(CONTACT以后、PCM测试前) Back flow 回流;倒流 Back light 背光板 Ballistic device:弹道器件 Bandgap 能带隙 Baseline标准流程

Batch furnace 批处理炉子

Bench adjustment 机架调整;试验台上调整 Benchmark基准

BFL (buffered FET logic) 缓冲FET逻辑 BGA (ball gate array) 球焊阵列封装

BIC test (build-in current testing) 内建电流测试 bidding quotation 标盘 Bipolar transistor 双极型晶体管 Bipolar双极

BIST (built-in self test)内置检测 Blower 吹制工,送风机,吹风机 Boat扩散用(石英)舟 Boiler 锅炉 Bolt 螺栓

Bond /viscosity / adhesive 粘合剂 Boolean Difference Method 布尔差异法 Branch box 分线箱,分线盒 Bridging faults 干扰错误 Bushing 轴衬,套管 C

Cable connection 电缆连接 Cable 电缆

CAD (computer aided design)计算机辅助设计 Cap fill 沟填充

Carriers in semiconductor 半导体中的载流子 Cassette:盒子,盒式磁带 Cathode 阴极

CCD (charge coupled device) 电荷耦合器件

CD (Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽度 CE (constant electric field) 恒定电场 Cell library for IC design 集成电路设计单元库 Channel 导电沟道

Character window 特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域 Checker board pattern 方格棋盘图形 Chemical inertness 化学惰性 Chemical injection 压注化学溶液

Chemical resistance 化学阻力,耐化学腐蚀性

Chemical-mechanical polish(CMP)化学机械抛光法。一种去掉圆片表面某种物质的方法. Chemical vapor deposition(CVD) 化学汽相淀积。一种通过化学反应生成一层薄膜的工艺 Chilled 已冷的,冷硬了的,冷冻的 Chlorine 氯 Chuck 卡盘

CIM (computer-integrated manufacturing)用计算机控制和监控制造工艺的一种综合方式 Circuit design 电路设计,一种将各种元器件连接起来实现一定功能的技术 Cleaning technology 清洗技术

Cleanroom 净化间:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域

CMOS (complementary metal oxide semiconductor)互补金属氧化物半导体:一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺

CMP (chemical mechanical polishin)化学机械抛光 Coil 盘绕,卷 Collimate 校正 Color filter 彩色滤光片 Commissioning 试运转,试车 Compact model 紧凑模型

Compensation doping 补偿掺杂:向P型半导体掺入施主杂质或向N型掺入受主杂质 Complement 补充物;补助,补足

Compression molding 平板硫化(法);压缩模型(法);压模(法)塑料 Compressor 压缩物,压缩机;收缩机

Computer-aided design(CAD)计算机辅助设计 Condensator 冷凝器,电容器

Conductivity type 传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴

Constraint-length 制约长度

Contact 孔:在工艺中通常指孔1,即连接铝和硅的孔

Containment 屏障

Continuous duty 连续使用,连续运行,连续工作,连续负荷

Control chart 控制图:一种用统计数据描述的可以代表工艺某种性质的曲线图表 Control strip 控制片

Control valve 控制阀,调节阀 Convection 对流 Conversion 转化,变换 Copper interconnect 铜互连 Correlation 相关性 Coupled valve 联结阀 Cove 窟窿,拱

Cp 工艺能力,详见process capability

Cpk 工艺能力指数,详见process capability index Cracking pressure 裂化压力

critical dimension 临界尺寸,中肯尺寸 Cryopump 低温泵

Cryptographic 关于暗号的,用密码写的 Crystal defects 晶格缺陷 Crystal growth 晶体的生长 Crystal structure 晶体结构 Crystallography 结晶学

CSS (constant current stres)恒定电流 CVD (chemical vapor depositio)化学气相沉淀 CVS (constant voltage stress)恒定电压

Cycle time 圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢 Cyclotron 回旋加速器 D

DAC (digital analog converter) 数字模拟转换器(数模转换器)

Damage 损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤 damped oscillation 阻尼振荡 Day tank 常用邮箱

DCFL (directly coupled FET logi)直接耦合FET逻辑 Deactivation 惰性

Dead space 死舱位,静区,无信号区,阴影区 Decoder 解码器

dedicated channel 专用信道

Defect density 缺陷密度。单位面积内的缺陷数 Definition 精确度,清晰度 Degas 蒸发 De-ionize 除去离子 Density 密度

Denuded zone 低缺陷区域

Deoxidant 除氧剂,还原剂 Deoxidizer 去氧剂,还原剂 Deoxidizing agent 脱氧剂

Depletion implant 耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管)

Depletion layer 耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域 Depletion width 耗尽宽度。53中提到的耗尽层这个区域的宽度

Deposition 淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法 Depth of focus(DOF) 焦深

DES: data encryption standard数据加密标准 Design engineering 设计工程 Design for testability 可测性设计 Design for yield 良品化设计

design of experiments (DOE) 为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划 desorption 解吸附 Detergent:清洁剂,去垢剂

develop 显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程) developer Ⅰ)显影设备; Ⅱ)显影液

DFM (design for manufacturing) 可制造性设计 DHF (dilute hydrofluoric acid) 稀氢氟酸 Diborane B2H6

Di water 去离子水,无离子水 Diaphragm pump 隔膜泵,膜片泵

Diaphragm 横隔模,控光装置;照相机镜头上的光圈;(电话等)振动模

diborane (B2H6) 乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源 dichloromethane (CH2CL2) 二氯甲,一种无色,不可燃,不可爆的液体 dichloro silicane SiH2Cl2

die 模:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域

dielectric Ⅰ)介质,一种绝缘材料; Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能 Dielectric breakdown 介质穿透 Dielectric isolation介质隔离

Dielectric strength 电介质强度,绝缘强度 Dielectric 电介质,绝缘体;非传导的 Diffraction 衍射的

diffused layer 扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层 Diffusion 扩散 Dihydride 二水合物

Dilution 稀释,稀释法,冲淡物 Diode 二极管

Discrete component 分立元件 Dishing 碟形

disilane (Si2H6) 乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜 Dislocation loop 位错环 Dispense 分发,分配

Dispensing pump 分配泵,量油泵 Dopant 掺杂物

Doping technology 掺杂工艺 Down spout 水落管

Downtime (工厂等由于检修,待料的)停工期 Drive screw 传送螺丝

drive-in 推进,指运用高温过程使杂质在硅片中分布扩散

dry etch 干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程

Dry etchers system 刻蚀系统

DSP (digital signal processin)数字信号处理器 DSW (direct step on the wafer)分步重复投影 Dummy fill 虚拟填充 E

ECL (emitter-coupled logic) 发射极耦合逻辑 Edge-scatter 漫射

EEPROM (electric erasable programmable read-only memory)电可擦可编程只读存储器 effective layer thickness有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度 Elastomer 弹道体,人造橡胶 electret 驻极体,电介体 electric welding 电焊

Electrical convergence 电性能的收敛

electrical double-layer capacitor 双电层电容器 Electrode 电极

Electromagnetic crystals 电磁晶体 Electro-migration 电移 Electroplating 电镀 Electrostatic 静电的

EM (electro migration),电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程 Embedded core 补砂芯

Embedded flash memory 嵌入式闪存 EML Emitting material layer 发光材料层 Encapsulation 封装 Encoder 编码器

Encryption 编密码,加密术,密码术 End position 终端

Endpoint detection 终点检测

Energy bands of crystal 晶体中的能带

EOS: electrical overstress 电过压力 Epitaxial growth 外延生长

epitaxial layer外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导 体材料,这一单晶半导体层即为外延层

EPROM (erasable programmable read-only memory)可擦可编程只读存储器 equipment downtime 设备状态异常以及不能完成预定功能的时间 ERCS (exponential ramped current stress)指数增加的电流 Erosion 侵蚀

ESD (electrostatic discharge) 静电积累 Etching process 腐蚀工艺

Etch 腐蚀,运用物理或化学方法有选择的去除不需的区域 ETL (electron transport layer)电子传输层 EUV (extreme ultraviolet lithograph)超紫外线光刻 Evaporation system 蒸发系统 Evaporation technology 蒸发技术

Exposure 曝光,使感光材料感光或受其他辐射材料照射的过程 Extension tube: 伸缩管

Extrude 挤压出,挤压成,突出,伸出 F

Fab manager 生产经理 Fab 常指半导体生产的制造工厂 Fail-safe 自动防故障装置(的) Failure density function 失效密度函数 Failure distribution function 失效分布函数 Failure models 故障模型 Fault grading:故障评估

FDP (flat display panel) 平板显示器

feature size 特征尺寸,指单个图形的最小物理尺寸

ferroelectric random access memory (FeRAM) chip铁电随机存取存储器 FET (field effect transistor) 场相应晶体管

field-effect transistor(FET)场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制 FIFO (first-in, first-out)先入先出 film 薄膜,圆片上的一层或多层叠加的物质 Filtration 过滤,筛选

Fine thread 细纹,细牙螺纹;细线 Firm & impervious joint 牢固接合 Firm acceleration 稳定加速 Firm bargain 实盘交易 Firm capacity 可靠性容量

Firmware:固体,韧件(软件、硬件的结合):programming instructions that are stored in a read-only memory unit that rather than being implemented through software存在只读存储器中而不是通过软件来执行的编程

命令

Fitting 装配,装置

Flared end 扩口,圈口(玻璃制品热加工);扩边,管子接头的边 flat band capacitance 平带电容 flat band voltage 平带电压 Floor planning 布图规划 flow coefficient 流动系数 Flow factor 流量因数 flow velocity 流速计 flow volume 流量计

Fluorescent lamp 荧光灯(管),日光灯(管) Fluoropolymer 含氟聚合物

flux 单位时间内流过给定面积的颗粒数

FMEA (failure mechanism effect analysis) 故障模式及结果分析 Folding 可折叠的

forbidden energy gap 禁带

Foreign body 夹杂物(玻璃缺陷),外来物体 Forming tool 成形工具 four-point probe 四点探针台

FPD (fission-product detection) 裂变产物探测

FPSLIC (field programmable system level integrated circuit)现场可编程系统级集成电路 Frequency synthesizer 频率合成器 Front view 正面图,正视图

FSI (failure symptom index)故障征兆指数 functional area 功能区 Furon:富沦(聚酰胺纤维)

Fuzzy logic integrated circuit 模糊逻辑集成电路 G

GaAs on Si substrate technology 硅上砷化镓技术 Galvanization 镀锌 Galvanoformung 电铸

Gas panel 充气板,气体显示板 Gasket 束帆索,垫圈,衬垫 Gasoline 汽油 gate oxide 栅氧 Gel 凝胶体

Glass substrates 玻璃基板

glass transition temperature 玻璃态转换温度 Glycerin 甘油,丙三醇 gowning 净化服

grazing incidence interferometer 切线入射干涉仪

GRP (global routing pool)集总布线区 H

Halide 卤化物 hard bake 后烘

HBT(heterojunction bipolar transistor)异质结双极型晶体管:是一种独具特色的半导体合金,其界面两侧由两种不同的材料构成,一侧是纯Si另一侧是Si-Ge。 HDI (high-density interconnection) 高密度互连 Heating element 发热元件,发热器 Heavily doped 重掺杂

Helium leak detector 氦检漏器(仪) Helium 氦

Heteroepitaxy 异质外延(单晶长在不同材料的衬底上的外延方法) Heterogeneous nucleation 非均质成核 Hierarchical design 分层分级设计

High electron-mobility transistor 高分子迁移率晶体管

high-current implanter 束电流大于3ma的注入方式,用于批量生产

high-efficiency particulate air(HEPA) filter 高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒 homoepitaxy 同质外延

Homogeneous nucleation 均质成核 Host 主机

hot carriers 热载流子

Hot embossing 用热模压印浮雕图案 Hot molding 加热模压法

HSTL(high speed transceiver logi)c高速收发器逻辑 HTL (hole transport layer)电洞传输层 Hydrophilic 亲水性 Hydrophobic 疏水性 I

IC(integrated circuit) 集成电路 I/O(Input/Output,输入/输出)

I2L circuit (integrated injection logic circuit) 集成注入逻辑电路

IDE 集成电路设备(integrated device electronics),智能磁盘设备(intelligent disk equipment) Images 去掉图形区域的版 implant 注入 impurity 掺杂

Incandescent 白热的,白炽的,遇热发光的 Indium In 铟

inductive coupled plasma(ICP) 感应等离子体 Inductive 诱导的,感应的

inductively coupled plasma 感应耦合等离子体

inert gas 惰性气体 infrared 红外线(的)

Injection molding 喷射造型法;喷射模型法 In-line 同轴的,嵌入的,内嵌的 Inner bore 内孔 Inorganic 无机的

installation wiring impedance 装置连线阻抗 Insulation 绝缘,隔离,绝热 Insulator 绝缘

In-system programmable logic device 系统内编程逻辑器件 Interconnection and layout technology 互连布线技术 Internal chamber 内室,内腔 Interpolation 窜改,添写,插补 Interstitial: 填隙,空隙的,裂缝的 Inverse 反转的

Ion beam doping 离子束掺杂技术 Ion implantation technology 离子注入工艺 Ionic extractable 离子提取

ISA (industry standard architectur) 工业标准结构 ISD (ion shower doping) 离子淋浴掺杂 isolated line 隔离线 Isolation technique 隔离技术 ITO glass(Indium Tin Oxide)导电玻璃 J

Jumping pattern 跑步图形 Junction box 接线盒,分线盒 Junction breakdown 结的击穿 junction spiking 铝穿刺 junction 结 K

Kerf 划片槽 Kink 结(n) L

Laminar flow 层流 Lateral 侧面生长 Lattice 格子

LDD (lightly doping drain) 漏区掺杂 Leak detection 检漏,密闭性检查

Leakage current 漏电流

LED (light emitting diode) 发光二极管 Leveling 使成水平,测量高低

LFSRs (linear feedback shift register)线性反馈一位寄存器 Light bulb 电灯泡 Lightly doped 轻掺杂 Lightning rod 避雷针 Link layer 链路层

Lithographic process 光刻工艺 lithography 制版 Lithography 光刻 Local bus 局部总线 Localizer 定位器

Locknut 锁紧螺母,防松螺母

LOCOS (local oxidation of silicon) 硅的局部氧化

lorosilane (DSC) 二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中 Low power consumption light 节能灯 Low power consumption 能量消耗低 lowest usable frequency 最低可用频率

LPCVD(low pressure chemical vapor deposition) 低压化学气相沉积 LPE(liquid phase epitaxy) 液相外延

LRVS (linear voltage ramp stress) 线性增加的电压 Lumen 流明(光通量单位) M

magnetic head 磁头:An electromagnet that can perform one or more functions of reading, writing, and erasing data on a magnetic data medium. 一种电磁装置,可实现读、写或清除 磁数据媒体上的数据的一种或多种功能。

Main body 主机,机身,主要部分 maintenance 保养,维护 majority carrier 多数载流子 Manifold 多叉管,多头导管 Manipulator 机械手

Marching pattern :跨步图形 Mask generation 掩模版生成

masks, device series of n 一成套光刻版 Mass flow controller 质量流量计

Master schedule 主要图表,综合图表,设计任务书,主要作业表 material 原料 matrix 矩阵

maximum frequency of oscillation 最高振荡频率 maximum usable frequency (LUF) 最高可用频率

maximum useful power 最大有用功率 MBE (molecule beam epitaxy) 分子束外延 MCM (multichip module)多芯片模块 mean 平均值

measured leak rate 测得漏率 median 中间值

Memory characteristics of Nanocrystals 纳米晶存特性 Memory scan pattern 存储器扫描

MEMS (micro electro mechanical system) 微型机电系统 metal :金属

Metal-semiconductor contract 金属半导体接触 Metrology 度量衡学,度量衡

Microelectronic packaging technology 微电子封装技术 Micromachining 微制造

minimum shift keying (MSK) 最小频移键控 final inspection 最终检验

minimum usable field-strength (Emin), minimum usable power flux density (Pmin) 最小可用场强,最小可用功率通量密度

MIOC: Memory and I/O Bridge Controller,内存和I/O桥控制器 MIP (metal induced pitting)(蚀损斑,氢气泡疤) Mixed signal IC 混合信号IC Mobility 迁移率

MOCVD (metal organic chemical vapor deposition) 金属有机物化学气相沉积 Modem (modulator, demodulator):调制解调器 Modification 更改,更正,修改 Modular 模的,有标准组件的 Module 模块

Monohydrides 一水合物 Monolayer 单层

MOS memorizer MOS型存储器

MOS (metal-oxide semiconductor)金属氧化物半导体

MOSFET (metal oxide semiconductor field effect transistor)金属氧化物半导体场效应晶体管 MOST (media orientation system transmission) 媒体定向系统传输 Mother glass 母玻璃

MSPS (multiphase serial-parallel-serial storage)多相位串行-并行-串行存贮器 MTL (merged transistor logic) 并合晶体管逻辑 Multiple-value logic IC :多值逻辑集成电路 Mylar 聚酯薄膜 N

nanometer (nm) 纳米 nanosecond (ns) 纳秒 New thermal donor:新热施主

本文来源:https://www.bwwdw.com/article/plj6.html

Top