EDA数字电子实习报告 - 报时式电子钟

更新时间:2024-05-25 18:11:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

EDA数字电子技术实习报告

-----报时式数字电子时钟

班 级 ………… 学 号 ……………….. 姓 名 XXXX 实习日期 2012.5.21—20125.25

目录

软件介绍-------------------------------------2 实验目的与仪器--------------------- -----------3 设计任务与要求------------------------ --------3 原理图及波形图--------------------------------4 工作原理-------------------------------------5 安装调试------------------------------------13 元件清单------------------------------------14 实习心得------------------------------------15

参考文献-------------------------------------------16

2

【软件介绍】

1 概 述

QuartusⅡ(或写成Maxplus2,或MP2) 是Altera公司推出的的第四代PLD开发系统主要用于设计新器件和大规模CPLD/FPGA。.

QuartusⅡ功能简介

(1)、原理图输入(Graphic Editor)

MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块.图形编辑器窗口见图(一)。

(2)、波形编辑器(Waveform Editor)

在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。波形编辑器窗口如图(三)所示。使用时只要将欲输入波形的时间段用鼠标涂黑,然后选择工具条中的按钮,例如,如果要某一时间段为高电平,只需选择按钮 ”1。”

还可以使用输入的波形(*.WDF文件)经过编译生成逻辑功能块,相当于已知一个芯片的输入输出波形,但不知是何种芯片,使用该软件功能可以解决这个问题,设计出一个输入和输出波形相同CPLD电路。

(3)、管脚(底层)编辑窗口(Floorplan Editor)(4)、自动错误定位 (5)、逻辑综合与适配 (6)、设计规则检查编辑(7)、多器件划分(Partitioner)

3

(8)、编程文件的产生(9)、仿真 (10)、时域分析(Timing Analyze)、器件编程

当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性.

【实验目的】

初步掌握QuartusⅡ仿真软件的使用方法; 1、 2、

学习在QuartusⅡ仿真软件工作平台上测试偏置电路;

掌握用QuartusⅡ对电路进行瞬态分析的方法,观察测量仿真运行结果。

【实验仪器】

计算机一台,QuartusⅡ软件

【设计任务与要求】

1.设计并制作一台能显示小时、分、秒的数字钟。具体要求如下: (1)完成带时、分、秒显示的24h计时功能;

(2)能完成整点报时功能,要求当数字钟的分和秒计数器计到59min50s时,驱动蜂鸣电路,前面几声音低,最后一声高音结束,整点时间到; (3)完成对“时”和“分”的校时,并能对秒计数器清零。 数字钟的组成框图

2. 该数字钟由振荡器、分频器、秒计数器、分计数器、小时计数器、校时电路、报时电路和显示电路等几部分组成。其组成框图如下图:

4

3.简要说明 小时计数器 译码显示电路 分计数器 秒计数器 报时电路 校时电路 校时 报时式数字钟是由振荡器、分频器、秒计时器、分计时器、小时计时器、校时电路、报时电路和显示电路等组成。小时计数器有24h计时和12h计时两种。校时电路可对分、小时计数器进行校时。报时电路可对整点时间进行音响报时。

【原理图】

5

clk_01_33clk_j_01_33clk_i_01_33HPINPUTVCCINPUTVCCQH[7..0]COMCOSPIN_45MPPIN_46HPHMPMCLK2Kinst2COMHTHPMTCOSCLRMPinst4CLKHTMTCLRinstQH[7..0]QM[7..0]COMQS[7..0]COSQM[7..0]COMQS[7..0]COSclk_d_01_33clk_q_01_33HPMPCLK2Kinst5QH[7..4]QH[3..0]QM[7..4]QM[3..0]QS[7..4]QS[3..0]LED[6]CLKCLK1KCLK2KINPUTVCCPIN_79D5[3..0]D4[3..0]D3[3..0]D2[3..0]D1[3..0]D0[3..0]CLK1KabcdefgS[2..0]LED[5]LED[4]LED[3]LED[2]LED[1]LED[0]OUTPUTOUTPUTLED[6..0]SEL[2..0]inst1PIN_7PIN_8PIN_9PIN_16PIN_15PIN_14PIN_13PIN_12PIN_11PIN_10clk_y_01_33QM[6]QM[4]QM[3]QM[0]QS[6]QS[4]QS[0]QS[3]Q0YQ1Q2Q3Q4Q5Q6Q7CLK1KCLK2KCLKinst6OUTPUTYPIN_68 6

【工作原理】

1.总体方案设计

针对题目设计要求,经过分析与思考,采用74160系列芯片进行设计。以74160计数器为基础制作数字钟基本功能模块,实现秒,分,时的基本计数和进位功能。计数器以清零法连接,每两片构成一位,分别为六十进制(秒),六十进制(分)和二十四进制(小时),进位端输出接清零加反向器,起延时作用使高位在低位清零后计数。最总完成一日二十四小时的计时。 2.74160功能表

输入 清零 CLR L X 预置 使能 时钟 预置数据输入 CP D3 D2 D2 D0 输出 Q1 Q2 Q3 Q4 进位

TC Q0 Q1 Q2 Q3 C

S1

S2 74LS160

CP D0 D1 D2 D3 LD RD

LDN CEP CET X X X X X ↑ X X X X L L L L L # H L D3 D2 D2 D0 D3 D2 D2 D0 H H H

H H H L X X L X X X X X X X X X X X X X X 7

保持 保持 计数 # L # H H ↑ 74160功能表

3.各模块的设计

(1)60进制分、秒计数器的设计

由74LS160构成的60进制计数器如图3所示。将一片74LS160设置成10进制加法计数器,另一片设置成6进制加法计数器。两片74LS160按同步置数发串接而成。秒计数器的十位和个位,输出脉冲除用作自身清零外,同时还作为分计数器的输入脉冲。图1电路为秒计数器,

OUTPUTQS[7..0]QS[59]NOTinst8QS[4]OUTPUTCOSQS[7]QS[6]QS[5]QS[3]QS[2]NAND3COUNTERCOUNTERRCOQDQCQBQARCOQDQCQBQAinst5QS[1]QS[0]GNDQS[59]instCLKCLRINPUTVCCVCCINPUTVCCinst2CLRNENPENTDCBALDNCLRNENPENTDCBALDNCLKCLK7416074160

秒计数器的运行波形如下图所示:

8

CLKINPUTVCCinstCOUNTERCLKVCCQM[59]NOTQM[7]QM[6]QM[5]QM[4]inst7GNDOUTPUTOUTPUT运行的波形如下图所示:

CLRNENPENTDCBALDNRCOQDQCQBQA分钟计数器的设计图如下:

(2)24进制时计数器的设计

74160COMQM[59]NAND3QM[7..0]inst6 当个位计数状态为Q3Q2Q1Q0=0011,十位计数器状态为Q3Q2Q1Q0=0010

9

inst1COUNTERCLKQ[1]Q[2]INPUTVCCINPUTVCCQM[3]QM[2]QM[1]QM[0]CLRNENPENTDCBALDNRCOQDQCQBQA74160

CLKVCCINPUTVCCinstCOUNTERCLK运行的波形图如下:

QH[7]QH[6]QH[5]QH[4]GNDQH[24]CLRNENPENTDCBALDNRCOQDQCQBQA74160NAND3(3)时间计数器模块clk的设计:

inst9inst1位、十位计数器的置数端,使计数器清零,从而构成24进制计数器。

时,要求计数器规零。通过把个位Q0 Q1、十位Q1进入与非门后的信号送到个

10

IN1IN2INPUTVCCINPUTVCCCOUNTERCLKQH[3]QH[2]QH[1]QH[0]CLRNENPENTDCBALDNGNDRCOQDQCQBQANOTQH[24]74160inst4OUTPUTOUTPUTQH[7..0]COH

clock_h_01_33CLKHTINPUTVCCINPUTVCCCLKQH[7..0]IN1COHIN2instOUTPUTQH[7..0]clock_m_01_33CLKQ[1]Q[2]inst1QM[7..0]COMOUTPUTOUTPUTQM[7..0]COMMTINPUTVCCclock_s_01_33CLKQS[7..0]CLRCOSinst2OUTPUTOUTPUTQS[7..0]COSCLRINPUTVCC

运行的波形图如下:

(4)动态显示模块clk_d的原理图文件

11

S[0]S[1]S[2]D0[0]D1[0]D2[0]D3[0]D4[0]D5[0]81muxABCD0D1D2D3D4D5D6D7GNS[0]S[1]S[2]D0[1]D1[1]Y[0]D2[1]D3[1]D4[1]D5[1]81muxABCD0D1D2D3D4D5D6D7GNS[0]S[1]S[2]D0[2]D1[2]Y[1]D2[2]D3[2]D4[2]D5[2]81muxABCD0D1D2D3D4D5D6D7GNS[0]S[1]S[2]D0[3]D1[3]Y[2]D2[3]D3[3]D4[3]D5[3]81muxABCD0D1D2D3D4D5D6D7GNY[3]YWNYWNYWNYWNinstGNDMULTIPLEXERGNDinst4MULTIPLEXERGNDinst3MULTIPLEXERGNDinst5MULTIPLEXERNAND2S[0]S[2]D5[3..0]INPUTVCCD4[3..0]D3[3..0]INPUTVCCINPUTVCCinst11D2[3..0]D1[3..0]INPUTVCCINPUTVCC74161LDNABCDENTENPCLRNS[0]S[1]VCCY[0]Y[1]Y[2]Y[3]7448ABCDLTNRBINBINOAOBOCODOEOFOGRBONOUTPUTOUTPUTOUTPUTOUTPUTOUTPUTOUTPUTOUTPUTabcdefgD0[3..0]INPUTVCCGNDQAQBQCQDRCOS[2]VCCinst14BCD TO 7SEGOUTPUTCLK1KINPUTVCCinst10CLKCOUNTERS[2..0]

动态显示模块clk_d的仿真文件

(5)报时模块clk_y的原理图文件

59分51秒时将分计数器和秒计数器分别接入与门使其计数将1,3,5,7,9状态取出接入扬声器使其每两秒报时一次。并由输入的信号频率不同实现四低一高。

12

AND8Q0Q1Q2Q3Q4Q5Q6Q7INPUTVCCINPUTVCCINPUTVCCINPUTVCCINPUTVCCINPUTVCCINPUTVCCINPUTVCCinstinst2MULTIPLEXERYinst4CLK1KINPUTVCCSBAMULTIPLEXERAND221muxinst3SBAYOUTPUTYMULTIPLEXERY21muxinst6CLK2KINPUTVCCSBA21muxGNDCLKINPUTVCC 报时模块clk_y的仿真文件

(6)校时模块clk_j的原理图文件

该电路针对分计时脉冲和时计时脉冲进行控制,达到校时的目的。控制后对应的分计时脉冲位CM,时计时脉冲位CH。或非门的输出和与门的输出接入一个或门来控制分计数器脉冲输入端。脉冲信号置1时,正常工作;置0时,实现对分的校对,每来一个上升沿,分计数器就向上加一进行校时。

13

VCC21muxCOMHPINPUTVCCINPUTVCCCMABSinstYMULTIPLEXEROUTPUTHT21muxCOSMPINPUTVCCINPUTVCCABSinst5YMULTIPLEXERNOR2OUTPUTMTOUTPUTCLRinst821muxCOMABSinst6YMULTIPLEXERCMGND

校时模块clk_j的仿真文件

(7)分频模块clk_q的原理图文件

14

HPMPINPUTVCCINPUTVCCOR2instMULTIPLEXERSBAYOUTPUTinst5CLKOUTPUTCLK1K21muxRCOQDQCQBQARCOQDQCQBQACOUNTERCLKCOUNTERCLKCOUNTERCLK7416174161RCOQDQCQBQACLRNENPENTDCBALDNCLRNENPENTDCBALDNVCCinst1inst2CLK2KINPUTVCCinst3CLRNENPENTDCBALDN74161 分频模块clk_q的仿真文件

(8)输入模块clk_i的原理图文件

DFFHPINPUTVCCDPRNQOUTPUTHCLRNinstDFFMPCLK2KINPUTVCCINPUTVCCDPRNQOUTPUTMCLRNinst1 输入模块clk_i的仿真文件

15

【安装调试】

1.引脚锁定

系统设计选用EP1K30QC208-2器件,其引脚锁定见下表:

引脚锁定表 信号名称 引脚号 信号名称 引脚号 信号名称 SEL[0] 7 LED[4] 12 HP SEL[1] 8 LED[3] 13 MP SEL[2] 9 LED[2] 14 CLK2K LED[6] 10 LED[1] 15 Y LED[5] 11 LED[0] 16

2.元件清单

实验过程所用到到的原件如下表所示:

? 74LS160(四位十进制同步计数器) ---------------- 6个; ? NAND3(四输入与非门) --- -----------------2个; ? NAND3(三输入与非门) --------------------- 1个; ? 数码显示管 ------------------ ------------6个; ? OR2(两输入或门)---------------------------3个; ? NOR2(两输入或非门)------------------------2个; ? AND4(四输入与门)------------------------- 3个; ? AND3(三输入与门)---------------- -------- 2个; ? AND2(两输入与门--------------------------- 2个;

16

引脚号 45 46 79 68 【实习心得】

一周的数字电子设计实习使我对QuartusⅡ软件有了初步的了解,对其设计及仿真应用的更得心应手。从原理图的设计、绘制、编译、仿真及下载到硬件上实现逻辑功能使我对数字电子设计有了一个比较全面的了解,对其应用也有了基本的思路。

这一周的实习使我感受最深的是数字电路设计需要的是深喉的理论知识严谨认真的科学态度。好的电路设计不仅要设计正确,还要做到用较少的元器件完成电路功能。一周的实习不但加深了我对芯片的理解和应用,巩固了课堂上所学的知识,而且还对设计简单的逻辑电路有了初步的思想,更深的理解了数字电子技术的应用。我选择了设计报时式数字钟,在做数字钟的时候遇到了一个问题,就是怎样控制数字钟的报时以及校时电路。原因刚开始我一直不理解但后来问老师知道了,原来只有输入可以连很多,但输出不能直接连在一起,通过改进才正确。

另外对与或非门又有了进一步的了解,与或非门应用很灵活,如可以当成单刀多掷开关,主要是利用其与门有0屏蔽其他输入,或门有1屏蔽其他输入,可以轻松的控制信号,在调时的电路里主要就用了此思想不但电路简单方便,而且控制也精确更加省时省芯片。这个思想我觉得应是数字电路的基础思想,就是在控制时由于变量较多导致控制起来难,这样利用一些逻辑电路把每个变量可以屏蔽去讨论令一个量对其的影响,这样不但简单而且方便易行,主要是这种思想。

这周的实习感觉自己的收获较多的。不但对数字电子技术有了更深一步的理解,而且对其设计对QuartusⅡ软件的使用也有了一定的了解,在下载到硬件上时也亲身的体验了在实践中电子技术的应用。还让我体会到了自己设计出具有一

17

定功能的系统的乐趣。也明白“纸上得来终觉浅,绝知此事要躬行”,实践中能学到很多书本上学不到的东西。

【参考文献】

1、《数字系统设计》邹彦等编著.北京:航空工业出版社.2007.2(第一版) 2、《电子技术实验教程》.周维芳、白庆华、曲萍萍、郑娜、张炜 编.成都:西

南交通大学出版社.2009年8月(第1版)

3、3、《电子技术基础》.数字部分(第五版).康华光等 编著.北京.高等教育出

版社.2006.1

4、《EDA技术实用教程》.潘松编著.科技出版社.2002 5、《数字电路技术基础》.阎石主编.高等教育出版社. 2001.1 6、《电工电子实验教程》.陈明义编.中南大学出版社

18

本文来源:https://www.bwwdw.com/article/ora7.html

Top