硬件实验: 电子时钟电路图、主要VHDL程序、波形仿真图及操作截
更新时间:2024-05-14 23:45:01 阅读量: 综合文库 文档下载
- 硬件实验报告推荐度:
- 相关推荐
XX 大 学 实 验 报 告
【附录】 一、全局电路图 第 页 共 页
XX 大 学 实 验 报 告
二、局部电路图 1.计时模块设计 (1)秒位计时电路如图2.1.1所示: 秒高位 秒低位 秒低位 图2.1.1 秒位计时电路 (2)分位计时电路如图2.1.2所示: 分高位 分低位 图2.1.2 分位计时电路 (3)时位计时电路如图2.1.3所示: 时高位 时低位 图2.1.3 时位计时电路 第 页 共 页
XX 大 学 实 验 报 告
2.校时模块设计 (1)秒位校时电路如图2.2.1所示: 图2.2.1 秒位校时电路 (2)分位校时电路如图2.2.2所示: 图2.2.2 分位校时电路 (3)时位校时电路如图2.2.3所示: 图2.2.3 时位校时电路 3.复位模块设计 电路请参看全局电路图。 第 页 共 页
XX 大 学 实 验 报 告
4.报时模块设计 如图2.4.1所示 图2.4.1 整点报时电路 5.分频与译码模块设计 本实验设计中,分频与译码模块由VHDL编程实现,VHDL程序的结构: 设计实体 库 程序包 实体说明 结构体1 结构体2 … 结构体n 配置 在VHDL程序中,库用来存放已经编译过的实体说明、结构体、程序包和配置等,它可以作为其他设计单元的资源。在VHDL程序中,程序包主要用来存放各个设计实体都能共享的数据类型、子程序说明、属性说明和元件说明等部分。 (1)分频程序及注释如下: library ieee; --引用IEEE库 use ieee.std_logic_1164.all; --使用STD_LOGIC_1164程序包 use ieee.std_logic_arith.all; --使用STD_LOGIC_ARUTH程序包 use ieee.std_logic_unsigned.all; --使用STD_LOGIC_UNSIGNED程序包 entity Separate is --实体Separate说明 第 页 共 页
XX 大 学 实 验 报 告
port (clk1k:in std_logic; --链接模式:名称clk1k,端口模式IN, 数据类型STD_LOGIC Hz1_out:out std_logic; --链接模式: 名称Hz1_out,端口模式OUT, 数据类型STD_LOGIC Hz10_out:out std_logic); --链接模式: 名称Hz10_out,端口模式OUT, 数据类型STD_LOGIC end Separate; architecture fenp of Separate is --结构体fenp说明 signal f10hz:std_logic; --信号说明:信号名f10hz,数据类型STD_LOGIC signal f1hz:std_logic; --信号说明:信号名f1hz,数据类型STD_LOGIC begin process(clk1k) --进程语句 variable numa:integer range 0 to 100; --变量说明:名称numa,类型INTEGER,范围0—100 variable numb:integer range 0 to 511; --变量说明:名称numb,类型INTEGER,范围0—511 begin if clk1k'event and clk1k='1' then if numa<250 then numa:=numa+1; else numa:=1; f2hz<=not f2hz; end if ; if numb<500 then numb:=numb+1; else numb:=1; f1hz<=not f1hz; end if ; end if; Hz1_out<=f1hz; Hz2_out<=f2hz; end process; end fenp; 所形成的模块如图2.4.1所示: 图2.4.1 分频器 (2)共阳数码管显示译码模块(BCD—七段码)程序及注释如下: library ieee; --引用IEEE库 use ieee.std_logic_1164.all; --使用STD_LOGIC_1164程序包 use ieee.std_logic_arith.all; --使用STD_LOGIC_ARUTH程序包 use ieee.std_logic_unsigned.all; --使用STD_LOGIC_UNSIGNED程序包 entity decoder is --实体decoder说明 Port (Qa,Qb,Qc,Qd:in std_logic; --链接模式:名称Qa、Qb、Qc、Qd,端口模式IN, --数据类型STD_LOGIC q3:out std_logic_vector(6 downto 0) ); --链接模式: 名称q3[6…0],端口模式OUT, --数据类型STD_LOGIC_VECTOR 第 页 共 页
XX 大 学 实 验 报 告
end decoder; architecture Behavioral of decoder is --结构体Behavioral说明 SIGNAL seg:std_logic_vector(3 downto 0); --信号说明:信号名seg,数据类型STD_LOGIC_VECTOR begin seg<=Qd&Qc&Qb&Qa; --标准逻辑矢量的并置运算,用于位的连接 process(Qa,Qb,Qc,Qd) --进程:敏感信号Qa,Qb,Qc,Qd begin case seg is --根据BCD码与七段码的一一对应关系,赋值输出信号 when \when \when \when \when \when \when \when \when \when \when others => q3<=\不显示 end case; --case语句结束 end process; --进程语句结束 end Behavioral; --结构体说明结束 所形成的模块如图2.4.2所示: 图2.4.2 数码管显示译码器 三、波形仿真图 1.计时仿真 (1)秒位计时仿真如图3.1.1所示: 图3.1.1 秒位计时波形仿真(未加译码显示) 第 页 共 页
XX 大 学 实 验 报 告
(2)分位计时仿真如图3.1.2所示: 图3.1.2 分位计时波形仿真(未加译码显示) (3)时位计时仿真如图3.1.3所示: 图3.1.3 时位计时波形仿真(未加译码显示) 2.校时仿真(以校分、时为例) (1)分位校时仿真如图3.2.1所示: 图3.2.1 分位校时波形仿真(未加译码显示) 第 页 共 页
XX 大 学 实 验 报 告
(2)时位校时仿真如图3.2.1所示: 图3.2.2 时位校时波形仿真(未加译码显示) 3.复位仿真 复位仿真如图3.3.1所示:(时、分、秒显示均归零,即00:00 :00) 图3.3.1 复位波形仿真(未加译码显示) 4. 报时仿真 报时仿真如图3.4.1所示:(临近整点时,ring电平发生规律性变化,实现从四短一长的报时) 图3.4.1 整点报时波形仿真(01:00:00,已加译码显示) 第 页 共 页
XX 大 学 实 验 报 告
5. 分频仿真 分频仿真如图3.5.1~3.5.3所示:(例:输入clk:T=20ns,分频输出T1=2us、T2=20us) 图3.5.1 分频波形仿真(输入clk:T=20ns) 图3.5.2 分频波形仿真(分频输出:T1=2us) 图3.5.3 分频波形仿真(分频输出:T2=20us) 第 页 共 页
XX 大 学 实 验 报 告
四、部分实验操作截图 1.选择DEVICE如图4.1.1所示: 图4.1.1选择DEVICE 2.分配引脚如图4.1.2所示: 图4.1.2 分配引脚 第 页 共 页
正在阅读:
硬件实验: 电子时钟电路图、主要VHDL程序、波形仿真图及操作截05-14
被老师打屁屁的作文03-12
主轴单元设计08-09
七年级下作文范文07-03
高一数学函数的基本性质提高训练112-15
CAD鼠标中键不能平移的解决方案08-16
何人可工业设计史考研核心精华笔记04-18
《党课开讲啦》第1期《党的光辉历程》观后感心得体会6篇07-31
高一下语文期末卷(附答案及答题卡)03-06
AIS(船舶自动识别系统)原理和应用04-15
- 多层物业服务方案
- (审判实务)习惯法与少数民族地区民间纠纷解决问题(孙 潋)
- 人教版新课标六年级下册语文全册教案
- 词语打卡
- photoshop实习报告
- 钢结构设计原理综合测试2
- 2014年期末练习题
- 高中数学中的逆向思维解题方法探讨
- 名师原创 全国通用2014-2015学年高二寒假作业 政治(一)Word版
- 北航《建筑结构检测鉴定与加固》在线作业三
- XX县卫生监督所工程建设项目可行性研究报告
- 小学四年级观察作文经典评语
- 浅谈110KV变电站电气一次设计-程泉焱(1)
- 安全员考试题库
- 国家电网公司变电运维管理规定(试行)
- 义务教育课程标准稿征求意见提纲
- 教学秘书面试技巧
- 钢结构工程施工组织设计
- 水利工程概论论文
- 09届九年级数学第四次模拟试卷
- 电路图
- 波形
- 时钟
- 仿真
- 主要
- 实验
- 操作
- 硬件
- 程序
- 电子
- VHDL
- 图及
- 一维数组试题
- 2013级世界当代史复习提纲 - 45300
- 潍坊市公开选拔副县级领导干部人选面试人员名单
- 安卓系统手机使用技巧锦集
- XX市出口农产品质量安全示范区验收工作接待方案
- 案例中国专利奖申报书
- 会计法解读资料--题目及答案
- 实用上海话900句
- 你认为目前学生中存在的最主要问题是什么
- Java考试复习资料 - 图文
- 最新安监局安全生产模拟考试-高压15
- 少儿美术培训班项目可行性研究报告
- 植物提取设备市场容量及投资行业发展预测分析报告2018年目录
- 2018-2019学年普通高等学校招生全国统一考试考前猜题卷文综3政治
- 应用文写作 - 教案
- 数学模型 实验报告
- (目录)2017-2022年中国服务机器人行业发展前景与投资战略咨询
- 继保之星软件操作部分说明书
- 生物统计附试验设计
- 2015年山东省莱芜市第二中学高一语文下学期第一次模拟试卷