EDA技术考试试题B及详细答案
更新时间:2023-10-20 22:12:01 阅读量: 综合文库 文档下载
- eda技术考试试题及答案推荐度:
- 相关推荐
《EDA技术》第二学期期末试题B
卷号:B 时间:120 分钟 2008 年6 月
专业:电子信息工程 学号: 姓名:
一、填空题(20分,每题2分)
1、当前ASIC制造商都自己开发了HDL语言,但是都不通用,只有美国国防部开发的( )语言成为了IEEE. STD_1076标准,并在全世界得到了承认。
2、载入protel的Schematic中的( )和( )可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。 3、零件封装是指( )。
4、EDA技术也称( ) ,是在( )技术的基础上发展起来的计算机软件系统。
5、目前世界上有十几家生产
CPLD/FPGA的公司,最大的三家是:
( ),( ),LATTIC 。 6、顺序描述语句中,( )在MAX-PLUS中不被支持。
7、 VHDL语句中能被赋予一定值的对象称为客体 ,主要有常数,信号和变量。其中常数对应代表数字电路中的电源和接地等。信号对应物理设计中的( )。 8、 FPGA可分为两大类,分别是 SRAM-BASE和Anti-Fuse 设计时一般选用( ) 。
9、 100mil=( )mm,7.62mm=( )mil。 10、
PCB封装元件实际上就是( )。
二、名词解释题(20分,每题4分)
1 PLD/FPGA 2.过孔 3.铜膜线
4 PROM、PAL和PLA
5 自顶向下的/自下而上的设计方法
三、选择题(15分,每题3分)
1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是( ) A.PgUp B.Tab C.Space bar D.Esc
2.Design/Options菜单中下列选项不属于开关选项的是:( ) A.Snap Grid B.Hidden Pins C.Electrical Grid D.Title block
3.下列不属于VHDL基本程序结构是( ) A..CONFIGURATION定义区 B..ARCHITECTURE定义区 C.USE定义区 D.ENTITY定义区
4.下列关于VHDL中信号说法不正确的是: ( ) A.信号赋值可以有延迟时间,
B.信号除当前值外还有许多相关值,如历史信息等,变量只有当前值 C.信号可以是多个进程的全局信号
D.号值输入信号时采用代入符“:=”,而不是赋值符”<=”,同时信号可以附加延时。 5.下列各表达式不正确的是:( ) A“1011”SLL=“0110”
B Singal a: bit_vector(7 downto 0);a<=”10110110”;则a(0)=’0’ C (-5) rem 2=(-1) D 5 mod(-2)=(-1)
四、简答题(12分,每题6分)
1 原理图设计步骤
2过程调用语句可以并发执行,但要注意那些问题
五 论述题(13分)
MAX+PLUSII软件设计流程
六.VHDL语言编程题(20分)
(1)VHDL语言编写2输入或非门(5分) (2)VHDL语言编写半加器(6分)
(3)VHDL语言编写十二进制同步计数器(9分) 引脚定义: reset 复位 en 计数控制 clk 时钟
qa,qb,qc,qd 计数器输出
《EDA技术》第二学期期末试题B答案
一 填空题(20分)
1、 VHDL
2、 DEVICE.LIB SYMBOLS.LIB
3、 实际零件焊接到电路板时所指示的外观和焊点的位置 4、 电子设计自动化 电子CAD技术 5、 ALTERA,XILINX 6、 WAIT
7、 电路连接 8、 SRAM-BASE
9、 2.54mm 300mil
10、 元件外观和元件引线端子的图形 二 名词解释(20分) 1 PLD/FPGA
PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。
2.过孔
当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried)
3.铜膜线
就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA
PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。
PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。
PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法
自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,
自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合
三 选择题(12分)
1. A 2.D 3.A 4.D 5.B
四 简答题(6+6=12分)
1原理图设计步骤(6分)
⑴ 设置原理图设计环境 1分 ⑵ 放置元件 1分 ⑶ 原理图布线 1分 ⑷ 编辑与调整 1分 ⑸ 检查原理图 1分 ⑹ 生成网络表 1分
2过程调用语句可以并发执行,但要注意那些问题:( 6分) 并发过程调用是一个完整的语句,在它之前可以加标号 2分
并发过程调用语句应带有IN,OUT或INOUT的参数,他们应该列在过程名后的括号内并发过程调用可以有多个返回值 2分
五 论述题
MAX+PLUSII软件设计流程(13分)
1)输入项目文件名(File/Project/Name)
2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) 3)指定CPLD型号(Assign/Device)
4)设置管脚、下载方式和逻辑综合的方式,若上一步用的是AUTO则不需设置管脚 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check)文件名与实体名一致。 6)指定管脚(Max+plusⅡ/Floorplan Editor)
7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator)
10) 下载配置(Max+plusⅡ/Programmer)
六.VHDL语言编程(6+6+10=22分) (1)2输入或非门 LIBRARY ieee;
use ieee.std_logic_1164.all; entity nor2 is
port(a,b: in std_logic; y: out std_logic); end nor2;
architecture nor_behave of nor2 is begin
y<=a nor b; end nor_behave;
(2)半加器 LIBRARY ieee;
USE ieee.std_logic_1164.all; ENTITY half IS
PORT (a, b : IN std_LOGIC;
分 2
正在阅读:
EDA技术考试试题B及详细答案10-20
2018-2019学年海淀区高三英语期中考试试题(2018.11终稿 )06-22
常见推拿手法意外及对策07-19
土木工程施工课后习题答案9445204-11
汉语言文字学基础2名词解释和简答题部分04-16
2005年注册资产评估师考试《建筑工程评估基础》试题及答案01-05
第五节 可降阶的高阶微分方程08-06
Inventor软件强度设计04-05
- 多层物业服务方案
- (审判实务)习惯法与少数民族地区民间纠纷解决问题(孙 潋)
- 人教版新课标六年级下册语文全册教案
- 词语打卡
- photoshop实习报告
- 钢结构设计原理综合测试2
- 2014年期末练习题
- 高中数学中的逆向思维解题方法探讨
- 名师原创 全国通用2014-2015学年高二寒假作业 政治(一)Word版
- 北航《建筑结构检测鉴定与加固》在线作业三
- XX县卫生监督所工程建设项目可行性研究报告
- 小学四年级观察作文经典评语
- 浅谈110KV变电站电气一次设计-程泉焱(1)
- 安全员考试题库
- 国家电网公司变电运维管理规定(试行)
- 义务教育课程标准稿征求意见提纲
- 教学秘书面试技巧
- 钢结构工程施工组织设计
- 水利工程概论论文
- 09届九年级数学第四次模拟试卷
- 考试试题
- 答案
- 详细
- 技术
- EDA
- 2018年监理工程师考试《合同管理》真题解析
- 软件工程概论期末大作业
- 医学免疫学名词解释
- 接口技术课后作业(201809)
- 电工中级试题
- 建筑CAD省赛理论题及参考答案101-120
- 扬州市2019年初中毕业升学统一考试语文模拟试题
- 电机与电气控制技术试题库和答案
- 高效液相色谱分析实验讲义
- 超星尔雅 朱恒源《创新创业》期末考试
- 喜羊羊与灰太狼之梦之战
- EAS8.0操作指南
- 工程热力学资料大全选择 复习题
- 关于公布《张家港保税区建设、施工、监理单位不当行为目录》的通知
- 黄帝内经题库
- 基础护理学学习指导及习题集(第五版)附答案
- 民用机场资料机场运行指挥中心
- 15秋福师《学前儿童科学教育》在线作业二 答案
- 小组全体成员(扩大)会议上的讲话
- 公路工程学习心得体会