七段数码管循环显示要点

更新时间:2023-08-07 04:09:01 阅读量: 实用文档 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

(封面)

天津理工大学中环信息学院

电子技术课程设计

设计题目:七段数码管循环显示控制电路设计

姓名:诸钦峰学号:11160014

系别:电子信息工程系专业班级:物联网1班

开始日期: 2013年6月24日完成日期2013 年07月01日

指导教师:彭利标成绩评定等级

天津理工大学中环信息学院

课程设计任务书

系别:电子信息工程系班级:物联网1班姓名:诸钦峰学号:11160014

本表附在课程设计说明书的目录之后。

1

天津理工大学中环信息学院

课程设计成绩评定表

系别:电子信息工程系班级:物联网1班姓名:诸钦峰学号:11160014

本表附在课程设计任务书之后。

2

目录

一、设计意义 (4)

二、主要任务 (5)

2.设计方案比较 (5)

三、电路组成框图 (8)

1.数列循环电路的设计 (8)

2.序列显示电路的设计 (8)

2.1十进制自然数序列的显示电路 (8)

2.2奇数序列显示电路 (9)

2.3偶数序列显示电路 (9)

2.4音乐序列显示电路 (10)

3.脉冲产生电路的设计 (11)

4.二分频电路的设计 (11)

四、电路原理图 (12)

五、各电路的仿真测试 (14)

1.脉冲产生电路的仿真 (15)

2.二频分电路的仿真 (16)

六、元件清单 (16)

七、总结 (16)

3

一、设计意义

这次的课程设计主要是用计数器来实现的,这个七段数码管循环显示控制电路设计的实质就是要产生一系列有规律的数列, 然后通过一个七段数码管显示出来。这里使用的只要就是计数器, 计数器在时序电路中应用的很广泛,它不仅可以用于对脉冲进行计数,还可用于分频,定时,产生节拍脉冲以及其他时序信号。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。

而这次的内容还包括分电路图的整合,使这个七段数码管能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工作情况, 可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

最后还有一个部分就是脉冲的产生基于多谐振荡器可以产生方波,就可以利用它来产生脉冲信号了。而这个多谐振荡器采用的是 555 定时器来完成的。这个设计基本上就是由以上三个部分连接在一起组成的。

1、基本方案框图

计数器输出信号,将信号给译码器和脉冲信号再由脉冲信号和译码器分别编成自然序列,奇数序列,偶数序列和音乐序列,最后由数码管显示出来。

图1 七段数码管显示的基本方框图

4

5 二、设计方案比较

共有两个方案可以选择,以下是两个方案的具体实验步骤。

1、 数列循环部分

方案一

设计数列的循环有很多种方法,这个方案就是利用移位寄存器将串行数据右移和左移的特点来设计的。电路图如图2。

图2 用74LS940构成的循环电路原理图

这个电路图实现循环主要是依靠74LS194的移位功能来完成的。先让开关J1拨至与电源相接,就是接入高电平,这样移位寄存器有了脉冲信号之后就可以实现置数的功能,四个输出端为1000,再将开关J1拨至与地相接也就是接入低电平,这时寄存器就可以实现移位的操作了,然后通过脉冲信号的触发下,寄存器的输出就可以从1000→0100→0010→0001,这样依次循环了。然后四个输出端用来控制计数器的信号控制端就可以控制序列输出了。

方案二

要让四个数列依次循环则采用一个2线--4线译码器和一个四进制计数器。用译码器的输出依次去控制芯片清零端,在通过一个四进制计数器去控制译码器输入,使其在四个输出间不断循环,

而计数器的时钟脉冲则可通过每个芯片的进位端经过一四输入或

门输出来控制。其电路图如图3。

图3 用译码器实现的循环电路

这两种方案都可以实现数列的循环,第一种方案需要拨动开关,而第二种就不需要可以自动依次产生数列。另外第一种开关使其依次产生序列还需要一个脉冲控制,而在设计总体的电路的时候四个计数器也需要有脉冲信号的触发,这样的话就要多设计一个方波脉冲的产生电路,另外还要与计数器的脉冲信号匹配,因为74LS194的移位是要一个计数器的全部数列产生完后才下一个脉冲,这样不是很好与计数器的脉冲频率想匹配。但是第二个方案就很好的解决了这个问题,这个方案的数列循环部分就是依靠芯片74HC390和74HC139也就是一个计数器还有一个译码器来实现的。74HC390的脉冲信号是由计数器的进位端来控制的,这样就很好解决了方案一的问题,只有当一个计数器的全部数列输出完了之后才会有脉冲信号过来触发74HC390让它进入下一个状态,这样就是由电路自己控制的,不会产生方案一的问题。

2、数列显示部分

这个部分是利用74LS160D计数器来实现的。根据数列不同的特点来连接电路的。电路图如图4。

DCD_HEX

图4 数列显示电路原理图

6

3、脉冲信号的产生

产生信号脉冲的方法很多,这里我在设计的时候选用的是用多谐振荡器,它是一种在接通电源后,就能产生一定频率和一定幅值矩形波的自激振荡器,常做为脉冲信号源。由于不用接输入信号就可以产生所需要的矩形波,所以在设计的时候就选用这个方案。而选用的电路是用555定时器构成的,因为555定时器内部的比较器灵敏度较高,而且采用差分电路形式,用它组成的多谐振荡器的振荡频率受电源电压和温度变化的影响很小,这样使产生的矩形波更稳定。电路图如图5。

图5 脉冲信号产生电路图

4、方案的确定

在数列循环的部分我采用的是用一个四进制计数器和一个译码器来实现的,这样避免了脉冲的混乱。

在数列显示部分用的是芯片74LS160的计数器的计数功能实现的。

在脉冲信号产生的环节则就是采用555定时器构成的多谐振荡器。

7

三、电路组成框图

整个电路总共三大部分,其中第二部分共分成4个小步,具体操作如下:

1、数列循环电路的设计

在这个部分主要是应用了一个四进制的计数器和一个译码器,这个部分的作用是为了使自然序列,奇数序列,偶数序列,音乐序列的循环显示。其中四个74LS160计数器的进位端与74HC390的CPA相接,这样就可以通过进位端状态由0变为1的瞬间给它一个脉冲触发,而另一个脉冲端则是与其输出端QA相接的,这样的接法是为了使74HC390实现8421BCD码十进制计数的功能。然后再让74HC390的输出端QA,QB分别与译码器74HC194相接,这样可以用译码器来控制计数器的动作状态,它可以决定由哪个74LS160

为0,接一个计数器来工作。当QA,QB为“0”,“0”时,这时译码器的输出端就只有Y

反相器然后再接产生自然序列的计数器的清零端;这样就可以实现只有自然序列输出的

为0,接一个反功能,同理当QA,QB为“0”,“1”时,这是译码器的输出端就只有Y

1

相器然后再接产生奇数序列的计数器的清零端,这样就可以实现只有奇数序列输出的功

为0,接一个反相器然能; 当QA,QB为“1”,“0”时,这是译码器的输出端就只有Y

2

后再接产生偶数序列的计数器的清零端,这样就可以实现只有偶数序列输出的功能; 当

为0,接一个反相器然后再接产QA,QB为“1”,“1”时,这是译码器的输出端就只有Y

3

生音乐序列的计数器的清零端,这样就可以实现只有音乐序列输出的功能。其产生序列的功能就是这样实现的。其电路图如图6。

图6 用译码器显示的循环电路

2、序列显示电路的设计

序列显示共分为四部分,分别为:十进制自然数序列的显示电路,奇数序列的显示

电路,偶数序列的显示电路和音乐序列显示电路。一下分为四部分详细说明:

8

2.1十进制自然数序列的显示电路

由于74HC160本身就是一个十进制计数的芯片,因此对于这个部分就只需按照其功能表来接电路就可以实现十进制自然序列输出了。在脉冲信号的触发下,计数器的输出端的状态依次为0000→0001→0010→0011→0100→0101→0110→0111→1000→1001,然后再将计数器的输出端和数码管的输入端口相接就可以在数码管上面看到依次显示从0到9了。其序列显示电路图如图7。

DCD_HEX

图7 自然数列的显示电路图

2.2奇数序列显示电路

将奇数1,3,5,7,9用8421BCD码分别表示为:“0001”,“0011”,“0101”,“0111”,“1001”,可以发现最后一位都为1,因此可以在上述十进制自然序列的基础上将数码管的最低位接高电平就可以实现奇数序列了。虽然在每个脉冲触发的作用下,芯片实现的仍然是十进制,但是由于数码管最低位接高电平,在数码管显示的则是奇数列,但是显示的时间间隔是正常自然序列的2倍,为了实现相邻显示时间间隔相等,我们可以利用

二分频电路解决上述问题。其序列显示电路图如图8

9

10

2.3偶数序列的显示电路

将偶数0,2,4,6,8用8421BCD 码分别表示为“0000”,“0010”,“0100”,“0110”,“1000”,可以发现最后一位都为0,因此可以在上述十进制自然序列的基础上将数码管的最低位接低电平就可以实现偶数序列了。虽然在每个脉冲触发的作用下,芯片实现的仍然是十进制,但是由于数码管最低位接高电平,在数码管显示的则是偶数列,但是显示的时间间隔是正常自然序列的2倍,为了实现相邻显示时间间隔相等,我们可以利用二分频电路解决上述问题。其序列显示电路图如图9。

图9偶数序列的显示电路图

2.4音乐序列显示电路

音乐序列的特点是从0显示到7后又再变为0,这里可以将数码管的最高位固定接低电平就可以实现了。因为74LS160的输出端只有三个与数码管相接,当74LS160的输出为“1000”和“1001”时,这时由于数码管最高位是固定接低电平的,也就是数码管的输入端仍是“0000”,“0001”。这样数码管的显示就又变成0和1了。其序列显示电路图如图

DCD_HEX_BLUE

DCD_HEX_YELLOW

11

3、脉冲产生电路的设计

由于上述设计中所用到的芯片全要有脉冲信号的触发才能完成相应的功能,所以就需要用到脉冲产生电路。我这里用到的是用555定时器设计的多谐振荡器,多谐振荡器的优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号了。而用555定时器设计的多谐振荡器也有很多优点,由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。其电路图如图11。

图11 脉冲产生电路图

设R3和R2的上半部分为R A ,R1和R2的下半部分为R B ,电容C2两端的电压为V C 。接通电源后,电容C2被充电,当V C 上升到2/3V CC 时,使输出电压为低电平,同事放电

三极管T 导通,此时电容C2通过R B 和T 放电,V C 下降。当V C 下降到2/3V CC 时,V0翻转

为高电平。当放电结束后,T 管截止,V CC 将通过R A 和R B 向电容器C2充电,当V C 上升到2/3V CC 时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周

期性的矩形波。

4、二分频电路的设计

因为奇、偶序列数字显示时间间隔是自然序列和音乐序列的2

倍,为了实现显示数

字时间间隔相等的要求,可以使用二分频电路,让自然序列和音乐序列的显示时间与奇偶电路的显示时间相等。其电路图如图12。

图12 二分频电路图

+=+,将JK触发器可以构成二分频电路。由于JK触发器的状态方程为1n n n

Q JQ KQ

JK触发器的J、K端均接在高电平,则从输出端Q输出的是二分频后的时间脉冲,其时间间隔为原脉冲的2倍。

四、电路原理图

这个电路图可以实现设计的要求,可以依次输出自然数列,奇数序列,偶数序列还有音乐数列,而且还可以循环输出,数码管的显示的间隔时间也可以通过调节脉冲信号的频率来进行调整。

电路图中四个74LS160的输出端口分别与四个与门相接,然后再将四个门电路的输出端分别与数码管的输入端相接。其中产生自然数列和音乐数列的脉冲信号的频率是产生奇数序列和偶数序列的脉冲信号的频率是2倍,这是因为为了实现数字显示时间间隔相等的要求,这里利用二分频器很好地实现了这一功能。

当打开电路的开关后,首先就是输出自然序列,这时是U1先工作,它的清零端接

的是“1“,这时就是它处在计数的操作,然后输出通过与或门相接再接至数码管的输

12

入端,就可以依次显示从0到9,当U1的输出要从9变到0的瞬间,它的进位端的状态是”1”,然后通过一个或门接至74HC390的脉冲输入端,这时从“0”变至“1”,恰好有一个脉冲,就可以通过译码器使U4开始工作即开始计数,它从9变至1时,又通过进位端给74HC390一个脉冲,然后就通过译码器又使U8开始工作,它从0变至8,当它从8变至0时,它的进位端又变至“1”,就又可以给74HC390一个脉冲信号,最后就通过译码器控制U9的工作,输出音乐数列。如此周而复始的这样循环,就可以实现我们需要的功能了。其电路图如图13。

1、总电路图

图13 总电路图

13

五、各电路的仿真测试

在实验中总共模拟仿真了脉冲产生电路和二分频电路如下是两个电路的模拟仿真实验图

1、脉冲产生电路的仿真

图15 脉冲产生电路的仿真

脉冲电路经过仿真以后输出的数据完全符合预期设想。经过这个仿真后我们可以知

道我们先前设计的脉冲电路没有问题,完全符合实验要求。

14

本文来源:https://www.bwwdw.com/article/mrcj.html

Top