序列检测器VHDL程序代码
更新时间:2023-09-23 17:37:01 阅读量: IT计算机 文档下载
序列检测器
library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity detect110 is port(clk,D_in:in std_logic; en:in std_logic; D_out:out std_logic ); end entity;
architecture behav of detect110 is
type state is(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11); signal n:state; signal p:state; begin process(clk) begin
if clk'event and clk='1' then n<=p; end if; end process; process(D_in,clk) begin if(en='1') then case n is when s0=> if(D_in='1') then p<=s1; else p<=s0; end if; D_out<='0'; when s1=>
if(D_in='1') then p<=s2; else p<=s0; end if; D_out<='0'; when s2=> if(D_in='1') then p<=s2; else p<=s3; end if;
D_out<='0';
when s3=> if(D_in='1') then p<=s0;
else p<=s4; end if; D_out<='0'; when s4=> if(D_in='1') then p<=s5; else p<=s0; end if; D_out<='0'; when s5=> if(D_in='1') then p<=s6; else p<=s0; end if; D_out<='0'; when s6=> if(D_in='1') then p<=s7; else p<=s3; end if; D_out<='0'; when s7=> if(D_in='0') then p<=s3; else p<=s8; end if;
D_out<='0'; when s8=> if(D_in='1') then p<=s2; else p<=s9; end if; D_out<='0'; when s9=>
if(D_in='1') then p<=s0; else p<=s10; end if; D_out<='0'; when s10=> if(D_in='1') then
p<=s5; else p<=s11; end if; D_out<='0'; when s11=> if(D_in='0') then p<=s0;
else p<=s0; D_out<='1'; end if; when others=>null; end case; else D_out<='0'; end if; end process; end behav;
交通灯 library IEEE;
use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity light is
port(clk: in std_logic;
dclk1:out std_logic; ra:out std_logic; ga:out std_logic; ya:out std_logic; rb:out std_logic; gb:out std_logic; yb:out std_logic ); end light;
architecture Behavioral of light is signal clk1: std_logic := '1'; signal q: integer range 0 to 24000000:=0;
begin
dclk1<=clk1; t1: process(clk) begin if clk'event and clk='1' then
if q=129 then q<=0;clk1<=not clk1; else
q<=q+1; end if ; end if; end process;
t3: process(q) begin
if q=0 then
ra<='0';ga<='1';ya<='0';rb<='1';gb<='0';yb<='0'; else if q=59 then ra<='0';ga<='0';ya<='1';rb<='1';gb<='0';yb<='0'; else if q=64 then ra<='1';ga<='0';ya<='0';rb<='0';gb<='1';yb<='0'; else if q=124 then ra<='1';ga<='0';ya<='0';rb<='0';gb<='0';yb<='1'; end if; end if; end if; end if; end process;
end Behavioral;
正在阅读:
序列检测器VHDL程序代码09-23
十并行接口芯片8255与输出控制03-06
年终公司领导工作总结精编例文08-03
商法总论复习题11-06
中级经济师考试试题汇编统计精品资料03-06
重症医学科管理制度与岗位职责03-31
工商管理学院2009--2010年度10-16
2012年南安一中教师综合表彰 - 图文01-25
日照市市区城中村改造的现状及对策研究07-20
第10讲阅读理解问题(含答案)12-26
- 供应商绩效评价考核程序
- 美国加州水资源开发管理历史与现状的启示
- 供应商主数据最终用户培训教材
- 交通安全科普体验教室施工方案
- 井架安装顺序
- 会员积分制度
- 互联网对美容连锁企业的推动作用
- 互联网发展先驱聚首香港
- 公司文档管理规则
- 机电一体化系统设计基础作业、、、参考答案
- 如何选择BI可视化工具
- 互联网产品经理必备文档技巧
- 居家装修风水的布置_家庭风水布局详解
- 全省基础教育信息化应用与发展情况调查问卷
- 中国石油--计算机网络应用基础第三阶段在线作业
- 【知识管理专题系列之五十八】知识管理中如何实现“场景化协同”
- 网络推广方案
- 中国石油--计算机网络应用基础第二阶段在线作业
- 汽车检测与维修技术专业人才培养方案
- 详解胎儿颈透明层
- 检测器
- 序列
- 代码
- 程序
- VHDL
- 聊斋文言文画壁
- ZDB控制器说明书(0508)
- 110kV变电站一次部分设计
- 三一重型装备有限公司-160掘进机
- 东北大学16春学期《直流自动控制系统》在线作业1
- 浙江省建设工程钱江杯奖(优质工程)评审细则(2015年)
- 硫酸铵中含氮量的测定
- 景家店学校普法依法治理工作第五个五年规划
- 全国第一二三四届中小学生艺术展演活动艺术表演类节目获奖名单(器乐组)
- 新概念课堂笔记 第一册 Lesson 75-76
- 在全局开展保持党的纯洁性主题教育实践活动动员会上的讲话
- 山西省曲沃中学校2014-2015学年高一上学期期中考试物理试题
- 幼儿园教职工政治学习制度
- 关于加强街镇人大规范化建设
- 超星泛雅二十四史名篇导读(一)考试答案
- 单片微机原理及应用 徐春辉第12章 习题答案
- 孙吴建国及汉末江南的宗部与山越
- 神机妙算套价软件用户手册
- 气质联用技术
- 《董西厢》和《王西厢》中崔莺莺形象的比较