可逆特殊12进制计数器
更新时间:2024-07-12 06:42:01 阅读量: 综合文库 文档下载
可逆特殊12进制计数器(20分)。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity cnt is Port ( clk : in std_logic; CL : in std_logic; co : out std_logic; output_l: out std_logic_vector(3 downto 0); output_h : out std_logic_vector(3 downto 0); add_dec: in std_logic); end cnt; architecture beh of cnt is signal count : std_logic_vector(7 downto 0); begin process(clk,CL) begin if CL= '1' then count <= \ co<='0'; elsif rising_edge(clk) then if count(3 downto 0)=\ count(3 downto 0)<=\ count(7 downto 4)<=count(7 downto 4) +1; else count(3 downto 0)<=count(3 downto 0)+1; end if; co<='0'; if count=\ co<='1'; elsif count=\ count<=\ co<='0'; else null ; end if; else if count(3 downto 0)=\ count(3 downto 0)<=\ count(7 downto 4)<=count(7 downto 4) -1; else count(3 downto 0)<=count(3 downto 0)-1;
第1页 共4页
end if; co<='0'; if count=\ co<='1'; count<=\ else co<='0'; end if; end if; else null ; end if; end process; output_l <= count(3 downto 0); output_h <= count(7 downto 4); end beh;
第2页 共4页
正在阅读:
可逆特殊12进制计数器07-12
江西省吉安市2017-2018学年高一下学期期末考试生物试卷07-06
薪酬绩效部门2016年工作总结及2017年工作计划03-06
2016-2018年品牌电器公司三年发展战略规划商业计划书09-18
“十二五”时期洛南县民俗村建设规划01-27
波尔川藏骑行攻略(整理版)07-01
毕业生未就业证明范文3篇03-20
蜡笔小新果冻的市场分析与营销策略(doc 17页)05-02
南国铜广播系统工程设计方案书105-13
- 天大砼方案 - 图文
- 农业科技网络书屋能力提升_玉米错题选
- DNS习题
- 浅议检察官对罪犯谈话的技巧与效果
- 高考语文文言文翻译专题训练
- AB类学科竞赛目录(2015)
- 建筑面积计算新规定(2015最新)
- Revit2012初级工程师题集一
- 十三五项目米线可行性报告
- 2013体育学院党组织建设工作总结
- 2014Revit工程师题库
- 高中数学如何实施研究性学习
- 茶艺表演 中英互译
- 小学音乐湘文艺版 四年级下册 第十一课《(歌表演)脚印》优质课公
- 山西省农村合作经济承包合同管理条例
- 2015年镇江市中考化学一模试题参考答案及评分标准(定稿)
- 统计 题集
- 批评意见清单
- 8潞安集团蒲县黑龙关煤矿矿业公司2
- 鄂教版四年级语文上册复习精要(光谷四小)
- 可逆
- 进制
- 计数器
- 特殊
- 中国ERP软件行业市场分析与盈利空间评估报告(2014-2019)
- 牛津小学英语5A Unit 4 Halloween教案
- 中心广场、活动场地施工组织设计
- 线性规划模型在物流管理中的应用
- 矿井火灾学总结-太原理工大学
- 2013届苏锡常镇徐连六市高三二模拟考试数学试卷解析 - 图文
- 东师《马克思主义中国化纵论》15春在线作业
- 矿用隔爆兼本质安全型变频驱动中心(g)
- 高压电工总局题库(1~17套)
- 《观察物体(三)》同步试题
- 供排水车间运营转型考试卷最新
- 环氧地坪施工前准备工作几大要素
- 旋挖桩桩基施工作业安全分析(JSA)
- 罗志军同志在全省加快发展新兴产业大会上的讲话
- 关于开展安全生产标准化工作讲解宣传稿
- 投融资策划咨询模式创新及能力建设试卷 69分
- 六杆压床机构_中南大学_课程设计说明书
- 述职述廉报告
- IBM - Cognos - 绩效管理方案建议书 - VDP
- STP战略