电工电子习题与答案

更新时间:2024-03-13 20:48:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

习题、答案

第一章 习题、答案

习题:

思考题

1.对电流参考方向或电压参考极性假设的任意性是否影响计算结果的正确性?

2.电路中选择不同的参考节点计算时,所求出的节点电压是否不同?支路电压是否相同?

3、对计算功率的两个公式(1.2.l)式和(1.2.2)式,如何进行选择? 4.电阻、电压、电流和功率之间的相互关系是什么? 5.为何电容上的电压一般不能突变? 6.为何电感上的电流一般不能突变? 7.流出电压源的电流由何决定? 8.电流源端口的电压由何决定? 9.受控源与独立源有何不同?

10.理想电源与实际电源有何不同?

11. KCL及 KVL应用了哪两套正负符号? 12.二端网络的等效概念是什么?

13.电阻的串、并联及分压。分流公式是什么?

14.在求戴维南等效电阻R0时,对电路中的独立电压源和独立电流源是如何处理的?

15.叠加定理和戴维南定理只能用于哪种类型的电路? 16.在求解简单RC电路过渡过程公式中,uc(0)、uc(∞)和τ的含义分别是什么? 填空题

l.元件的特性是由其 所描述的。 2.KCL及KVL仅与电路 有关。

3.电流及电压是具有 和 的物理量。 4.关联参考方向是指 。

5.若已知某元件上 U=-3V、 I=2A,且 U,I取非关联参考方向,则其吸收的功率 P= ,该元件是吸收还是产生功率 ? 6.电阻R,电感L及电容C上的伏安关系式为iR= ;iL= ;uL= ;ic= ;uC= . 7.电容上的 及电感中的 一般不会突变。在直流电路中,电容相当于 ,电感相当于 。

8.直流电压源Us两端的电压值是 的,流过Us的电流由 所决定。 9.直流电流源Is流出的电流值是 的,其上两端的电压降由 所决定。 10.电路中两个相互等效电路在对于分析 电路时,其作用是相互等效的。 11.三个电阻R1=51Ω、R2=100Ω和R3=75Ω相并联,其等效电阻值R是否一定小于51Ω?

12.电流源Is流过两并联电阻51Ω、R2=100Ω,则电流I1比电流I2值 。

13.用戴维南定理求解电路中某电阻Ri上的电流。在求UOC时,应将Ri 。 14.用叠加定理求解电路中某个电阻Ri上的电流 i: i=iˊ十i〞.所设I, iˊ, i〞的参考方向应当相 。

15.在计算简单RC电路的过渡过程中,电容上电压uc(t)的表达式为人uc(t)= . 练习题

l.*(1-1) 求图P1.l电路中电路所吸收的功率。 (a)当 U=15V, I=3A时; (b)当 U=7V, I=-13A时;

(C)当 U=一3V,I=5A时; (d)当 U=一6V,I=一4A时。

图P1.1

2.将习题1的电流I的参考方向反设,再重新计算功率。 3.求本教材中图1.2.5电路中(a)、(b)、(c)、(d)电路元件上吸收的功率。 4.*(1-2) 求图P1.4电路中电流i1, i2,i3及电阻5Ω上吸收的功率。

图P1.4 图P1.5

5.在图P1.5电路中,求U1,U2 及电阻5Ω上吸收的功率。 6.*(1-3) 求图P1.6电路中I,U.

图P1.6 图P1.7

7.* (1-4)在图P1.7电路,选d点为参考点,求电位Ub,Uc和电压Ucb。 8.在上图P1.7电路中,改选C点为参考点,求Ub,Uc,Ud及Ucb。 9.*(1-5)在图P1.9电路中,求7Ω电阻上消耗的功率。

10.*(1-6) 在P图1.10电路中,求各支路电流,并用功率平衡验证计算答案的正确性。 11.*(1-5) 用戴维南定理重新计算第9题。

12.*(1-7) 用叠加定理,求图P1.10电路中的电流I3。

图P1.9 图P1.10 说明:凡注有*号的习题为必做题. 补充题

2在电路中为什么要引入电压、电流的参考方向?参考方向与实际方向间有何区别和联系?何谓关联参考方向?

4求图1一题4图中所示各元件吸收或发出的功率。

5.图1一题5图中A、B、C、为三个元件(电源或负载)。电压、电流参考方向已设定如图所示。已知I1 = 3A,I2 =-3A,I3 =-3A,U1 = 120V,U2 = 10V,U3 =-110V (1)试标出各元件电流、电压的实际方向及极性;

(2)计算各元件的功率、并从计算结果指出哪个是电源,哪个是负载。 1. 1. 1. 作出图2一题1图所示电路的等效电源。

图2一题1(a)的示范解答:

解:与恒压源Us并联的5Ω电阻不影响Us值。5Ω电阻作开路处理后对外电路等效,由(a)图得(a’)图。再根沿电源等效变换的原理“”.可将(a’)图以等效电流源等效,如图(a”)所示。注意恒压源与恒流源的方向应对外电路等效。

2.图2一题2图所示,已知电路中Us = 2V, Is = 2A, R =2Ω.计算通过恒压源的电流及恒流源两端的电压,以及两个电源的功率,说明它们是产生还是消耗电功率。

3.求图2一题3图所示电路(a)和(b)中负载电阻RL两端电压U及RL中的电流I,并分析电路的功率平衡关系。

6.用叠加原理求图2一题6图电路的各支路电流。

7.应用戴维南定理将图2一题7图所示各电路化为等效电压源。 9.用戴维南定理求出图2一题9图所示电路中的I值。

10.测得某含源二端网络的开路电压U0 =12V,短路电流Is = 0.5A,计算当外接负载电阻RL = 36Ω时的端电压及负载电流。

答案

思考题:

1.不会, 2.不同、相同, 3.关联参考方向选择公式1.2.1,非关联参考方向选择公式1.2.2, 4.u=iR (关联), u= 一iR (非关联), p=u i (关联) , p=一u i (非关联), 5.见书P10, 6. 见书P12 , 7.由Us和外电路共同决定, 8..由Is和外电路共同决定, 9.独立源的值是恒定的,而受控源的值是随控制支路的控制量变化而变化, 10.实际电源含有内阻Rs, 11.KCL中i对节点的流进、流出和KVL中u的参考极性与回路绕行方向相同、相反及i、u自身的正负号, 12.对外电路而言,两个二端网络的端口伏安关系相同, 13.电阻串联,等效电阻为 R= R1+ R2…+ Rn;电阻并联,等效电阻为R= R1// R2//... Rn两个电阻并联 R=R1//R2= R1R2/(R1+ R2) ,分压ui=us*Ri/ (R1 +…Ri + Rn);分流ik=Is*Gk/(G1 + Gk…+ Gn),若两个电阻并联:i1 =is*G1/ (G1+G2)= is* R2 /(R1 + R2), i2(略), 14.独立电压源视为短路, 独立电流源视为开路, 15.迭加定理适用于多电源电路,戴维南定理适用于求某一支路电量, 16. uc(0)初态, uc(∞)稳态,τ时间常数.

填空题

1.伏安关系; 2.结构; 3.大小、方向); 4.电流的参考方向和电压的参考极性一致; 5. 6W、吸收; 6.u/R、1/L∫u(τ)dτ、Ldi/dt、Cdu/dt、1/C∫i(τ)dτ; 7电压、电流、开路、短路; 8.恒定、Us和外电路; 9. 恒定、Is和外电路; 10.外; 11.是;12.

-1/τ

大;13.断开; 14.同; 15. uc(t)= uc(∞)+ [uc(0)- uc(∞)] e 练习题:

1.(1-1)提示:功率的计算,关联参考方向,p=ui: (a)45W, (b)-91W, (c) -15W, (d)24W。 2.提示:功率的计算,非关联参考方向,p=-ui: (a) -45W, (b) 91W, (c) 15W, (d) -24W.。

3. 提示:功率的计算,(a) 关联,p=3X(-2)=-6W,(b) 非关联, 6W, (c) 关联,6W, (d) 非关联, 6W。

4.(1-2) 提示:关于节点电流的计算,KCL: 节点b i2=3+1=4, 节点a i1=-4-(-2)=-2, 节

2

点c i3=3+(-2)=1,(选节点o也可), p=(-2)X5=20W。

2

5. 提示:关于回路电压的计算,KVL: U2=-1V,U1=5-(-1)=6V,p=6/5=7.2W 。

6.(1-3)提示:关于电阻并联分流的计算,分流公式:I=10X(1/5)/(1/5+1/10+1/10)= 5A, 伏安关系:U=5X5=25kV;本题也可用KCL求得:U/10+U/10+U/5=10,U=2500V,I=2500/5000=5A.

7.(1-4)提示:关于电阻串联分压的计算及电位的概念,

分压公式:Ub=Ubd=40X(50+70)/(20//20+50+70)=480/13V, Uc= Ucd=40X70/(20//20+50+70)=280/13V,

Ucb=Uc-Ub=280/13-480/13=-200/13V 。 8.提示:同上,本题也可以用伏安关系(VAR)求解。

求总电阻R= 20//20+50+70=130kΩ,求电流I=40/130=4/13mA,

伏安关系:Ub= Ubc=50X(4/13)= 200/13V, Uc=0V, Ud=Udc=-70X(4/13)=-280/13V, Ucb=0-200/13=-200/13V 。

9.(1-5)提示:本题可用等效变换、戴维南定理(见习题11)或迭加定理求解:

等效变换:60V、6Ω串联→60/6=10A、6Ω并联→10A、6Ω与6Ω并联→10A、3Ω→3X10=30V、3Ω串联;4A、-2A、10Ω并联→2A、10Ω并联→2X10=20V、10Ω串联→等效变换成单回路电路,KVl(顺时针):

2

-30+3I+7I+10I+20=0, I=0.5A, P=0.5X7=1.75W 。

10.(1-6)提示:本题可用伏安关系和基尔霍夫定律求解;如求单个支路的电量,也可以用戴维南定理(见习题11)或迭加定理(见习题12)求解.

VAR:I2=-4/2=-2A,I4=6/3=2A,I3=[4-(-6)]/5=2A, KCL: I1=2-(-2)=4A,I5=2+2=4A,

功率平衡:电源P=-4X4-4X6=40W (产生),

2 2 2

电阻P=(-2)X2+2X5+2X3=40W (吸收),

P电阻=P电源,功率平衡,计算答案正确。

11.(1-5)戴维南定理:

(1)断开7Ω待求支路,求UOC=Ubo-Uco=60X6/(6+6)-(4-2)X10=10V, R0 =6//6+10=13Ω,

(2)用戴维南等效电路接入待求支路,解单回路电路:

I=10/(13+7)=0.5A, P=0.5?X7=1.75W 。 12.迭加定理: (1)4V电压源单独作用,I′=4/5=0.8A, (2)6V电压源单独作用,I\

时的输入组合,最后,将这几种输入组合相加,即它们之间应为或的关系,便可得标准与-或式。

10.答:用逻辑代数法进行逻辑函数的化简,即是反复、灵活、交替使用逻辑代数的基本公式和规则,以求得最简与-或表达式。 (二)填空题

1.十,0,1,2,3,4,5,6,7,8,9; 2.二,0,1;

3.原码,反码,补码; 4.与、或、非; 5.AB?AB?A

A?AB?A?B

AB?AC?BC?AB?AC

AB?AB?AB?AB;

(三)练习题

*1.(3-1)请用真值表证明A?B?AB公式成立。 证明:对于公式A?B?AB列真值表如下: A B A?B 0 0 1 1 0 1 0 1 1 0 0 0 AB 1 0 0 0 由真值表可以看出,在A、B的所有组态下,A?B和AB都相等,所以等式成立 *2.(3-2)求下面函数的反函数,并加以简化(可不做简化,增加求对偶式)。 (a)(BC?AD)(AB?CD); 解:

F?(B?C)(A?D)?(A?B)(C?D)(?AB?AC?BD?CD?AC?AD?BC?BD?AB?AC?BC?BC?AC?AD?CD?CD?BD?BD?AB?AC?C?AC?AD?C?BD?BD?1或 =AB?AC?BD?CD?AC?AD?BC?BD?1)

(b)BD?ABC?ACD?ABC 解:

F?(B?D)(A?B?C)(A?C?D)(A?B?C)(?(B?D)(A?AB?AC?B?BC?AC?BC)(A?C?D)?(B?D)(A?B)(A?C?D)?(AB?AD?BD)(A?C?D)?(AB?BD)(A?C?D)?ABC?ABD?ABD?BCD?BD?ABC?ABD?BD?ABC?D(B?BA)?ABC?AD?BD)

(c)[(AB)A][(AB)B] 解:

F?[(A?B)?A]?[(A?B)?B](?[AB?A]?[AB?B]?AB?A?B?A?B?B?1)或=AB?AB?1

(d)AB?CD 解:

F?(A?B)(C?D)(?AC?BC?AD?BD)

*3.(3-3)将下列函数表示成最小项之和的形式:

(a)F(A,B,C,D)?D(A?B)?BD 解

F(A,B,C,D)?A(B?B)(C?C)D?(A?A)B(C?C)D?(A?A)B(C?C)D?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD??m(1,3,5,7,9,11,13,15):

(b)F(W,X,Y,Z)?YZ?WXY?WXZ?WXZ(大作业上Z为A,应改正) 解

F(W,X,Y,Z)?(W?W)(X?X)YZ?WXY(Z?Z)?WX(Y?Y)Z?WX(Y?Y)Z?WXYZ?WXYZ?WXYZ?WXYZ?WXYZ?WXYZ?WXYZ??m(1,3,5,9,12,13,14)*4.(3-4)用卡诺图简化如下已知的开关函数,并求最简的与-或表达式。 (a)F(A,B,C,D)??m(0,2,4,6)

解:卡诺图如下: C A 0 0 0 10 1 1 1 03 07 015 B D 0 1 0 01 05 013 09 12 16 14 012 08 1 1 0 014 010 011 选择方格群如图示,则有: F?AD

(b)F(A,B,C,D)??m(0,1,4,5,12,13) 解:卡诺图如下:(本书卡诺图画法较繁,且变量位置变换容易出错,建议用老画法)

A 0 1 D 0 C B 0 1 1 1 0 0 10 1 1 0 08 010 14 06 07 15 112 02 03 014 015 113 011 09 11 选择方格群如图示,则有:F?AC?BC

*5.(3-5)用代数法和卡诺图法简化布尔函数:

(本题卡诺图法化简意义不大,可不做) (a)XY?XY 解:1)代数法

F?X(Y?Y)?X 2)卡诺图法:

Y X 0 1 0 00 1 01 13 12 由图得:F?X

(b)(X?Y)(X?Y) 解:1)代数法:

F?X?XY?XY?X 2)卡诺图法: Y 0 1 X 0 1 由图得:F=X (c)XYZ?XY?XYZ 解:1)代数法:

00 01 13 12 F?XY(Z?Z)?XY?XY?XY?Y2)卡诺图法: X 0 1 由图得:F=Y (d)ZX?ZXY 解:1)代数法:

Y Z 0 00 0 1 1 13 17 1 0 01 05 12 16 04 F?ZX?XY??1 1 1 13 17 ?Z(X?Y)?XZ?YZ

2)卡诺图法:F?XYZ?XYZ?XYZ Y 0 X 0 1 1由图得: F?XZ?YZ (e)(A?B)(A?B)

解:1)代数法:

Z 0 00 0 01 15 02 06 04 F?AB(AB)?0

(f)Y(XZ?WZ)?XY 解:1)代数法:

F?XYZ?XY?WYZ?XY?WYZ 2)卡诺图法:

F?XYZ?WYZ?XY??m(6,7,11,14,15)Y W 0 0 00 0 1 1 03 1 0 X Z 0 1 01 05 013 09 02 16 04 17 115 1 1 0 012 08 114 010 111 由图得: F?XY?WYZ

*6.(3-6)用卡诺图简化具有随意条件?d的逻辑函数F。

解:F??m(1,2,5,7,10) ,?d??m(3,11,13,15)

卡诺图如下: C 0 A D B 0 0 1 1 1 0 0 1 F?ABCD?ABCD?ABCD?ABCD?ABCD ?d?ABCD?ABCD?ABCD?ABCD

1 1 0 00 11 15 ?3 17 12 06 04 012 08 ?13 09 ?15 014 110 ?11 由图得:F?AD?BC

3-7.完成下列数制的转换

(a)(a)(60)10=(111100)2 (本题大作业二进制和十进制数下标反) (b)(b)(CE)16=(11001110)2=(206)10

3-8.输出F和输入A,B关系的真值表如表P3.1所示,写出输出F1∽F6的函数表达式,并画出相应的逻辑符号。

表P3.1 A B F1 F2 F3 F4 F5 F6 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 0 1 1 0 0 表达式 F1=AB F2=A⊕B F3=A+B F4=A⊙B F5=AB F6=A?B =1 = ≥1 & 逻 辑 A & F A F A F A F 符 号 B B B B

3-9.输出F和输入A、B、C关系的真值表如表P3.2所示,写出输出F1∽F2的函数表达式,并画出相应的逻辑符号。 表P3.2 A B C F1 F2 A B C F1 F2 0 0 0 0 0 1 0 0 0 1 0 0 1 1 1 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 0 1 1 1 0 1 1 1 1 1 解:F1=ABC?ABC?ABC?ABC?ABC?AB?C,

F2=ABC?ABC?ABC?ABC?A?B?C 其逻辑图如下:

=1 =1 ≥1

& A A B F1 B F2 C C 3-10.若题9中输入A、B、C的波形如图P5.3所示,试对应画出输出F的波形。

A B C F1 F2 3-11.写出下列逻辑函数的对偶式(可增加)和反函数。

F1=AC?DC?AD F2=AB?BC?C(A?D)

解:F1?(A?C)?(D?C)?(A?D)?(C?AD)?(A?D)?AC?CD F1*=(A?C)?(D?C)?(A?D)?(C?AD)?(A?D)?AC?CD F2?(A?B)(B?C)?(C?AD) F2*=(A?B)(B?C)?(C?AD)

3-12.用代数化简法将下列逻辑函数化简成最简与—或表达式。

(1) (1) F=AB+AC+AB+BC=A+AC+BC=A+BC (2) (2)

(3) (3) F=AB?BC?ABC?ABCD?AB?BC

3-13.用卡诺图法将下列逻辑函数化简成最简与—或表达式。 (1) (1) F(A,B,C,D)=ABC+ABD+CD?ABC?ACD?ACD (2) (2) F(A,B,C,D) = ∑m(0,2,4,6,8,10)

(3) (3) F(A,B,C,D) = ∑m(1,7,910,11,12,13,15) 解:(1) CD AB 00 01 11 10 00 1 1 01 1 1 11 1 1 1 1 10 1 1 1 1 1 F=D?A (2) F=

ABC?ABC?ABC?ABC?AB(C?C)?AB(C?C)?AB?AB?A

CD

AB 00 01 11 10 00 1 1 01 1 1 11

10 1 1 F=BD?AD (3)

CD AB 00 01 11 10 00 1 01 1 11 1 1 1 10 1 1 1 F=ABC?ABC?BCD?BCD

3-14.用卡诺图法将下列具有随意条件Σd的逻辑函数化简成最简与—或表达式。

(1) (1) F(A,B,C,D)= Σm(3,6,8,9,11,12)+ Σd(0,1,2,13,14,15)

(2) (2) F(A,B,C,D)= Σm(0,1,2,3,4,7,15)+ Σd(8,9,10,11,13,13)

(3) (3) F(A,B,C,D)= Σm(2,4,6,7,12,15)+ Σd(0,1,3,8,9,11) 解:(1)

CD AB 00 01 11 10 00 φ φ 1 φ 01 1 11 1 φ φ φ 10 1 1 1 F=AC?BD?ACD(或BCD)

(2)

CD AB 00 01 11 10 00 1 1 1 1 01 1 1 11 φ φ 1 10 φ φ φ φ F=B?CD?CD

(3)

CD

AB 00 01 11 10

00 φ φ φ 1 01 1 1 1 11 1 1 10 φ φ φ

F=CD?CD?AD(或AC)

笫四章习题、答案

思考题

1. 1. 小规模集成、中规模集成、大规模集成和超大规模集成电路中包括的门

数大约是多少?

答:小规模集成中包括10~20个等效门 中规模集成中包括20~100个等效门

超大规模集成中包括100~1000个等效门. 2. 2. 在数字电路中,正逻辑约定是如何定义的

在逻辑电路中逻辑0对应低电位;逻辑1对应高电位,这中约定为正逻辑. 3. 3. TTL门电路中各晶体管的作用?

二极管和三极管在TTL门电路中作开关使用。

4. 4. 什么是传输特性?开启电压、关闭电压、阈值电压和噪声容限是如何定

义的?以TTL门为例子予以说明。

传输特性:它描述了输入电压从0电位上升到高电位时输出电压的变化情况. 开启电压:当电路输入端接额定负载时,使电路输出端处于低电位上限所允许的最

低输入电位.

关闭电压:使电路输出端处于高电位下限所允许的最高输入电位.

阈值电压:通常将传输特性曲线中输出电压随输入电压改变而急剧变化转折区的

终点对应的输入电压称为阈值电压.

噪声容限:在前一极输出为最坏的情况下,为保证后一极正常工作.所允许的最大

噪声幅度.

5. 5. 什么是传输延时?影响TTL门和CMOS门传输延时的主要因素是什么?

传输延时:指与非门输出波形相对于输入波形的延时.

影响TTL门的传输延时的主要因素是晶体管的开关特性,电路结构和电路中各电阻的阻值.

6. 6. 什么是速度功耗积?

速度功耗积:指门的传输延时和空载功耗的乘积.

7. 7. 什么是扇出系数?TTL门和CMOS门的扇出系数是如何求得的?

扇出系数:是一个门能够驱动同类型门的个数.

计算方法:TTL们输出为高电位时,可带动的门的个数为:输出为高电位时的输出电流IOH与输入为高电位时的流入电流IIH之比,即NOH=∣IOH/IIH∣; TTL们输出为低电位是,可带动的门的个数为:输出为低电位灌入电流IIL与输入为低电位时的流出电流IOL之比,即NOL=∣IOL/IIL∣ 8. 8. 什么是线或逻辑?什么是三态门?

线或逻辑:指TTL门的输出端用连线直接并联在一起,构成的或逻辑.

三态门:逻辑门中除了逻辑0逻辑1两种逻辑状态外,还有第三种状态高阻状态的

门电路.

9. 9. CMOS传输门的工作原理?(见P115 CMOS传输门)

10. 10. TTL门与CMOS门相比,各有什么优缺点?

(抗干扰,功耗,工作速度和带负载能力, P114-115)

11. 11. TTL门和CMOS门各主要参数的数量级约为多少?使用器件时应注意哪

些问题?(P115)不通逻辑系列配合使用时,应注意哪些问题?(P118-119) 课后练习题

1. 1. 双极型三极管有(共集)(共基)和(共射)三种接法。

2. 2. 按正逻辑约定,高电位对应(1)电位:低电位对应逻辑(0)电位 3. 3. TTL门的主要电气参数是涉及电路(工作速度)(功耗)(抗干扰能力)(驱动能力)的参数

4. 4. TTL门电路的高电位为(3.6)伏,低电位为(0.4)伏:阈值电压为(1.4)伏:噪声容限约为(0.4-0.8)伏

影响TTL门电路的传输延时的主要因素是(晶体管的开关特性,电路结构和电路中各电阻的阻值)

5. 5. TTL门扇入扇出系数是根据一个门输出为高电位时能够给出的电流,以及在输出低电位时,允许灌入的电流以及输入高低电位时的输入电流进行计算的。

6. 6. CMOS门电路的高电位为(5)伏,低电位为(0)伏:阈值电压为(2.5)伏;噪声容限约为电源的百分之三十以上(>1.5V,见P114) 7. 7. 影响CMOS门工作速度的主要因素是(负载电容) 8. 8. CMOS门扇出系数是根据(P107)计算的

9. 9. CMOS门功耗由(静态)功耗和(动态)功耗两部分组成。 10. 10. 三态门的第三态是(高阻)状态。 练习题

1. 1. 低功耗TTL非门的输入输出特性如下:

当流进输出端的电流是0到3.6mA时,输出低电压为0.4V以下:当从输出端流出0到0.2mA电流时,输出高电压为2.4V以上。当输入电压是2.4V时,流进电路的最大输入电流是10μA:在输入电压是0.4V时,最大输入电流小于-0.18mA(负号表示从输入端流出)。

TTL型7404集成电路由六个非门构成。每个分门在输出电压为2.4V时,流进输入端的最大电流为40uA;当输入为0.4V时,最大输入电流为-1.6mA。当输出灌入16mA时,输出低电压为0.4V以下;当输出端流出0.4mA时,输出高电压为2.4V以上。

试求一个7404型非门最多能带几个低功耗TTL门。

解:NOH=|I0H/IIH|=|400/10|=40, NOL=|I0L/IIL|=|16/0.18|==89 ∴ 一个7404型非门最多能带40个低功耗TTL门(取较小的) 2. 2. (类似4-1)根据第一题数据,求一个低功耗TTL门最多带几个低功耗TTL

门。

解:NOH=|I0H/IIH|=|200/10|=20, NOL=|I0L/IIL|=|3.6/0.18|==20 ∴ 一个低功耗TTL门最多带20个低功耗TTL门

4-1.根据教材表4.4.1的数据,求一个低功耗TTL门最多能带几个低功耗TTL门。

解:查书P119表4.4.1, 低功耗TTL门应选LS TTL那一行数据 NOH=|I0H/IIH|=|400/20|=20, NOL=|I0L/IIL|=|8.0/0.4|==20 ∴ 一个低功耗TTL门最多带20个低功耗TTL门

3.已知CMOS门电路的电源电压UDD为10V,静态电源电流iD=2uA,输入信号

为100KHZ的方波(上升时间和下降时间可以忽略不计),负载电容CL为200pF,试计算计算它的静态功耗、动态功耗、和总功耗。(注:请参考P115,仅作了解) 4.(4-2)请写出图P4.4(a)(b)(c)的F1,F2与F3的逻辑表达式。

(a)

(b)

(c)

解:(a) F1=A, (b) F2=AB?AB, (c) F3=A?B?A?B 5.请写图P4.5逻辑图中F的逻辑表达式.

解:(a) F=AB?CD=AB+CD

6.(4-3)请画出实现如下函数的逻辑图.假设输入变量的原码和反码均可得到,并注

意利用每个函数F的随意条件

(a) (a) 用两个或非门实现

解: CD AB 00 01 11 10 00 1 1 1 01 11 Φ Φ 10 Φ 1 1 Φ F=AB?BD?BC?B(A?C?D)?B?A?C?D Σd =ABC?ABD A

≥1 ≥1

C F D B (b) (b) 用三个与非门实现

(AB?AD?BD)(A?C)?ABC?AD?ADC?ABD?BCD解:F=?ABC?AD?ABC?AD

A B

& & C F

A D

(c) (c) 用四个与非门实现

F=BD?BC?ABCD

CD 解; AB 00 01 11 10 00 1 1 1 01 Φ Φ 11 1 10 Φ 1 1 1 化简,得 F=BC?BD?CD?B(C?D)?CD?B?CD?CD?B?CD?CD 或 =BC?BD?CD

C B

& &

D F B

C D

4-4.写出图P4.2各电路输出F的表达式(一路输入,应改为反相器符号)

(c) (d) 解: (a) F1= A?B?A?B (b) F2=AB?AB

(c) F3=AB?0?AB?0?AB (d)(d)F4=AB?CD?AB?CD

4-5.分别写出当X=0和X=1时图P4.3各电路输出F的表达式。

X=1时, F1=B X=1时, F2?AC

解: X=0时, F1=A, X=0时, F2?AB,

4-6.写出图P4.4各电路输出F的表达式,并说明功能。

解:(a) F1=AB,与非门 (b) F2=A?B,或非门

(c)C=1时: F3=A;C=0时: F3为高阻,三态门 (d) F4=AB?C,与或非门

4-7.若已知图P4.3(第5题)各电路输入波形如图P4.5所示,试对应画出输出F

的波形。

解:由第5题已知

X F1 F2

0 A AB

1 B AC

根据上述逻辑关系,画出F1、 F2的波形如下图

4-8.TTL反相器噪声容限示意图见图P4.5所示,请估算出输入分别为高电位和低电位时的噪声容限UNH和UNL的值

解:UNH=UOHmin-UiHmin=2.4-2.0=0.4V

UNL=UiLmax-UOLmax=0.8-0.4=0.4V

第五章习题、答案

习题 思考题

1.什么是组合逻辑电路?它们在逻辑行为和结构上有什么特点? 2.如何对组合逻辑电路进行分析? 3.组合逻辑电路的设计步骤?

4.如何由任务的文字描述建立真值表?如何根据真值表写出逻辑表达式? 5.竞争和险象形成的原因?它们会有什么危害?

6.什么是互斥输入的编码器?其逻辑表达式是如何利用随意项得到最简的? 7.什么是优先编码器?其逻辑表达式是怎样求得最简的? 8什么是译码器?如何设计和应用?

9.什么是多路选择器?如何设计和应用? 10.如何用多路选择器实现逻辑函数? 11.什么是数值比较器?如何设计和应用? 12.什么是全加器?如何设计?

13.什么是逐位进位加法器?如何设计?

14.什么是超前进位加法器?其设计的依据什么?

填空题

1.组合逻辑电路的输出仅与____有关。组合逻辑电路没有__功能,在 其电路中没有__回路。

2.组合逻辑电路设计过程中最重要的一步是____,它是目前计算机辅助设计 工具无法实现的。

3.造成逻辑电路竞争险象的原因是____;组合逻辑电路中的险象是__________的;单个输入变化时,组合逻辑电路不会产生__类型的险象。 4.8个输入的编码器,按二进制编码,其输出的编码有__位。 5.3个输入的译码器,最多可译码出__路的输出。

6.4选1多路选择器输出的函数表达式是:______________。 7.全加器有__、__和__三个输入信号,以及__和__两个输出信号。

练习题(注:打*题为必做题)

1.求图P5.l电路中输出F1,F2和F3的逻辑表达式。(本题太繁,原为必做题,现取消)

图P5.1

2.组合电路有四个输入A,B,C,D和一个输出F。当下面三个条件中任一个成立时, 输出F都等于1。

i. i. 所有输入等于1; ii. ii. 没有一个输入等于1 iii. iii. 奇数个输入等于1。

请列出其真值表,写出最简的与-或表示式。

* 3.(5-8(3))输入为两个二位的二进制数A1,A0和B1,B0,输出的二进制数等于输入两个数的乘积。

(a)求输出端的个数;

(b)写出每个输出的最简逻辑表达式。

* 4.利用二片3线一8线译码器和其它门的组合实现4线一16线译码器。 5.利用九片3线一8线译码器实现6线一64线译码器。

* 6.用两片八选一多路选择器和其它门组成十六选一的多路选择器。 7.用九片八选一多路选择器组成六十四选一多路选择器。 * 8.利用四选一多路选择器实现函数 Z?SS?SV?SSV

9.利用四选一多路选择器和其它门的组合实现函数 Z?SS?SW?VW?SW

10.试分析图5.6.10 74283型四位二进制加法器。

* 11.多路选择器的功能是在地址码控制下,从几个数源中选择一个,并将其送到一个公 共的输出端。分路器(Demultiplexer)的功能与多路选择器相反,它是将一个信号源,按地址码分送到不同的输出端(见图P5.11和表P5.11),请仿照图5.5.8的思路画出图P.11对应的逻辑图。

100011000表P5.11

(a) (b)

12.试结合图5.4.5(b)波形图说明图5.4.5(a)电路产生静态1险象的原因。 13.参考图5.6.8并利用图5.5.9和图5.5.10,画出较简单的两位二进制数比较器的详细逻辑图。

14.求出表5.5.4七段显示泽码器a-g各输出字段的逻辑表达式。

答案 思考题

1.逻辑电路的输出只与当时输入的逻辑值有关,而与输入的历史情况无关,这类逻辑电路叫做组合逻辑电路。组合逻辑电路在结构上没有记忆功能,在其电路中没有反馈构成的环路。

2. 分析组合逻辑电路的步骤如下:

(1)电路中每个门的输出标以不同的符号。 (2)先求每个门输出的逻辑表达式。

(3)迭代各逻辑表达式,并进行化简,直到求出电路输出的逻辑表达式,使其仅是电路输入变量的函数。

(4)填写真值表,分析电路逻辑功能。 3.组合逻辑电路的设计步骤如下: (1)根据电路要求列出真值表; (2)由真值表画出卡诺图;

(3)由卡诺图求出简化的逻辑表达式: (4)根据最简的逻辑表达式画出逻辑图。

4. 由任务的文字描述确定输入、输出变量及它们对应的逻辑状态, 列出输入变量各种组态情况下对应输出变量的真值表。真值表的每一行对应一个最小项,可写出输出变量等于1的所有最小项之和即标准与或表达式(输入变量为0以反变量表示, 输入变量为1以原变量表示)。

5. 当一个门的两个或两个以上的输入发生改变时,由于这些输入信号是经过不同路径产生的,不同路径的传输延时往往又是不同的,使得各输入信号状态改变的时刻有先有后,这种时差引起的现象称为竞争。由竞争产生的错误输出就称为组合逻辑电路的险象。竞争的结果可能导致险象发生并造成错误的后果, 影响系统的正常工作。

6. 互斥输入的编码器,其各个输入是互相排斥的,即在同一时刻只能有一个输人端的电位为有效电位。由于各输入是互斥的,所以允许输入组合的情况就大大减少,其它不应出现的输入组合所对应的输出可视为随意值,以使编码器的电路较简单。

7. 优先编码器的各个输入之间不是互相排斥的,但各个输入端的优先权是不同的,当几个输入端同时出现有效信号时,输出端给出其中优先权最高的那个输入信号所对应的代码。根据优先编码器的逻辑功能列出功能表,当输入变量中优先级别较高的为有效值时,则余下优先级别较低的均视为任意值,从而可以方便地由功能表得到最简的逻辑表达式。

8. 译码是编码的逆过程。译码器的功能是将给定的输入码组进行翻译,变换成对应的

输出信号,对每一种可能的输入组合,一个且仅一个输出信号为有效电位。设计方法和组合逻辑电路的设计方法相同(略),其应用主要是实现逻辑函数(译码器的输出是最小项输出),数据分配器和译码器的扩展。

9.多路选择器又叫数据选择器。多路选择器的功能类似一个多掷开关,它在地址码(或称选择控制)电位的控制下,从几个数据输入源中选择一个,并将其送到一个公共的输出端。在数据传输过程中,有时需要利用多路选择器将几路信号在不同时刻经过一路信道进行传送。

10. 用多路选择器实现逻辑函数方法:因为多路选择器的功能表达式为Y=∑(mi·Di),所以应首先将要实现的逻辑函数变换成标准与或表达式形式,再与多路选择器的功能表达式相比较,首先确定地址输入变量,再确定数据输入变量,最后画出相应的电路连接图。

11实现对两个n位二进制数进行比较并判断其大小关系的逻辑电路称为数值比较器。设计和应用(略)。

12. 考虑低位进位的二进制一位加法器叫全加器,设计(略)。 13. 低一位的进位输出送到高一位的进位输人端,进位信号是逐位生成的,仅当低位来的进位信号稳定有效之后,本位向高位的进位信号才能正确地送出,这种加法器称为“逐位进位加法器, 设计(略)。

14. 超前进位加法器就是通过尽量减小进位信号的生成时间来提高运算速度的。主要是根据进位Cn的递推公式Cn= Pn*Cn-1+ Gn,式中进位产生函数Gn= An*Bn,进位传递函数Pn=An⊕Bn,从而直接得出每位的进位信号, 减小了进位信号的生成时间。

填空题

1. 1. 当时输入的逻辑值,记忆,反馈。

2. 2. (根据文字描述的设计要求)列出真值表。

3. 3. 器件的传输延时, 由于各个输入信号经过不同路径产生,函数。 4. 4. 3。 5. 5. 8。

100101102103 6. 6.

7. 7. 被加数An,加数Bn,低位进位Cn-1,本位和Sn,本位进位Cn。

Y?(AA)D?(AA)D?(AA)D?(AA)D练习题

1. 1. 从左向右,逐段由下到下依次的逻辑表达式分别为: (a):

XY,XYXZ?XYZ,XYYZ?XYZ,XXYXYZ?XY(XY?Z)?XYZ,XYZXYZZ?(X?Y?Z)(X?Y?Z)Z?(XY?XY)Z,YXYXYZ?XY(XY?Z)?XYZ,F1?XYZXYZ(XY?XY)Z?XYZ?XYZ?XYZ?XYZF2?XYXYZXYZ?XY?XYZ?XYZ?XY?XZ?YZ;(b):

A?B?AB,A?AB?A?B,B?AB?A?B,A?B?A?B?C?(A?B)(A?B)C?(AB?AB)CA?B?A?B?(AB?AB)C?(AB?AB)?AB?ABC?AB?AB?C,(AB?AB)C?C?AB?AB?C,F3?AB?AB?C?AB?AB?C?(AB?AB?C)(AB?AB?C) ?ABC?ABC?ABC?ABC.2.a. 列出真值表: A B C D F 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1

b.用卡诺图化简:

c.写出逻辑表达式:F?ABC?ABD?ACD?BCD?ABC?ABD?ACD?BCD 3.(a)列出真值表:(5-8.(3))

A1 A0 B1 B0 Y3 Y2 Y1 Y0 0 0 X X 0 0 0 0 X X 0 0 0 0 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 1 1 0 0 1 1 1 0 0 1 0 0 1 0 1 0 0 1 0 0

1 1 0 1 1 0 1 1 0 1 0 0 1 1 1 0 0 1 1 0 1 1 1 0 0 1

所以输出端的个数是4个; (b)由真值表求得逻辑表达式: Y3=A1A0B1B0,

Y2=A1A0B1B0?A1A0B1B0?A1A0B1B0?A1A0B1?A1B1B0

A1A0B1B0?A1A0B1B0?A1A0B1B0?A1A0B1B0?A1A0B1B0?A1A0B1B0Y1=?A1A0B1?A1A0B0?A1B1B0?A0B1B0Y0=A1A0B1B0?A1A0B1B0?A1A0B1B0?A1A0B1B0 =A1A0B0?A1A0B0?A0B0。

4.(5-1) 3线-8线译码器74LS138真值表

电路连接图:(主要利用控制端S和S作为扩展输入端D3,两片输出端并列输出)

5.参考教材P144图5.6.3分级扩展,第一级用一片3线-8线译码器,其8个输出端别接到第二级八片3线-8线译码器的允许控制端S2(或

Y0?Y7分

S3),第二级八片3线-8线译码器

63), 第一级3线-8线译码器的输入A2A1A0接A5A4A3, 的并列输出作为总的输出(0第二级八片3线-8线译码器的输入A2A1A0全部并联接A2A1A0, 电路连接图略。 6. (5-2)电路连接如图

Y?Y

7. 参考教材P146图5.6.5分级扩展, 第一级用八片八选一多路选择器进行第一次选择, 数据输入端D0-D7并列接D0-D63,地址输入端A2A1A0全部并联接A2A1A0,其输出分别接到第二级一片八选一多路选择器的数据输入端D0-D7, 地址输入端A2A1A0接A5A4A3,其输出Y作为六十四选一多路选择器的输出,电路连接图略。

8.(5-3)利用多路选择器实现函数的步骤是:将多路选择器的输出逻辑功能表达式和所要实现的逻辑函数表达式进行两次对比,第一次确定地址输入变量, 第二次确定数据输入变量,最后画出电路连线图。(本书采用对比真值表的方法较繁,故未采用)

(a)将所要实现的函数表示成最小项之和的形式,

Z=S1S0+S0V+S0S1V=S1S0V?S1S0V?S1S0(V?V) (b)与多路选择器的输出表达式对比,

Y??mi?Di?A1A0D0?A1A0D1?A1A0D2?A1A0D3i?0n?1

首先确定地址输入变量,令A1=S1,A0=S0,则

Y?S1S0D0?S1S0D1?S1S0D2?S1S2D3

1再与Z函数对比,确定数据输入变量,令0使得Y=Z;

(C)画出相应的电路连接图(注:允许控制端S低电平有效)

D?V,D?V,D2?0,D3?1,

9.(a) 将所要实现的函数变换为,

Z?S1S0?S0W?VW?S0W?S1S0VW?S1S0?S1S0?S1S0

(b) 与多路选择器的输出表达式对比,

Y??mi?Di?A1A0D0?A1A0D1?A1A0D2?A1A0D3i?0n?1

首先确定地址输入变量,令A1=S1,A0=S0,则

Y?S1S0D0?S1S0D1?S1S0D2?S1S2D3

再与Z函数对比,确定数据输入变量,令 (C) 画出相应的电路连接图

D0?VW,D1?D2?D3?1,使Y=Z,

注:本题也可以用双4选1多路选择器扩展为8选1来做,具体做法略。

#10. 略。

11.由真值表P5.11,列出输出Y的表达式,

1011 0 画出相应的逻辑图

Y?AAD,Y?AA0D,Y2?A1A0D,Y3?A1A0D,

12.由于A信号经过反相器,波形不仅反相,而且附加了传输延迟(见教材P129图5.4.5(b)中的A、K波形),当B=C=1使M和L出现互补状态时,就会出现静态1险象(见图中L、M和F的波形)。

#13.略。

14.根据P133 表5.5.4七显示译码器功能表求得Ya-Yg的逻辑表达式,再经化简得,

5-4.试分析图P5.1电路的逻辑功能,写出输出F的表达式。

解:F1=AB+AC+BC

F2=AB?AB?AB?AB?AB?AB?AB(A?B)?(A?B)AB?AB?AB

AAB?BAB?CCD?DCD?AAB?BAB?CCD?DCD?(A?AB)(B?AB)?(C?CD)(D?CD)?(A?B)(A?B)?(C?D)(C?D)F3=?AB?AB?CD?CD

5-5.试分析图P5.2所示电路的逻辑功能,写出输出F的表达式。

F1=ABC?ABC?ABC?ABC,判奇电路,

F2=AB?AC?BC, 三人多数表决电路

5-6. .试分析图P5.3电路中各输出逻辑函数的表达式

S=S1S2S3=S1S2S3 , F0=SA2A1A0,

F1=SA2A1A0, F2=SA2A1A0,

F3=SA2A1A0, F4=SA2A1A0, F5=SA2A1A0, F6=SA2A1A0,

F7=SA2A1A0,(本图应为3线-8线译码器,按常理F的下标顺序反了)

5-7. 试分析图P5.4电路,写出输出F的表达式,列出真值表,说明电路的逻辑功能

F2=I7I6I5I4, F1=I7I6I3I2, F0=I7I5I3I1, I7 I6 I5 I4 I3 I2 I1 I0 F2 F1 F0 0 x x x x x x x 1 1 1 1 0 1 x 1 x 1 x 1 1 0 1 1 0 x 1 1 x x 1 0 1 1 1 1 0 1 1 1 x 1 0 0 1 1 1 1 0 x x x 0 1 1 1 1 1 1 1 0 1 x 0 1 0 1 1 1 1 1 1 0 x 0 0 1 1 1 1 1 1 1 1 x 0 0 0 电路的逻辑功能为3线-8线互斥输入编码器.

5-8.试用门电路实现下列逻辑函数,画出逻辑图。

(1)三变量判偶电路(三变量中,有偶数个变量为1时,输出为1,否则输出为0); (2)三变量多数表决电路(三变量中,有≥2个变量为1时,输出为1,否则为0); (3)两位二进制乘法电路,其真值表见表P5.5

解:(1)a. 根据题意,列出真值表 A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 b.由真值表求出逻辑表达式,并化简(本题无化简) F=ABC?ABC?ABC?ABC c.由逻辑表达式画出逻辑图

F 1 0 0 1 0 1 1 0

/A /B /C

&

/A

& ≥1 B C F

& A /B C

& A B /C

(2)a.根据题意,列出真值表

b.由真值表求出逻辑表达式,并化简 F=ABC?ABC?ABC?ABC=BC+AC+AB c.由逻辑表达式画出逻辑图

(3)见练习题3(列出真值表, 求出逻辑表达式) Y3?A1A0B1B0

Y2=A1A0B1?A1B1B0 A1//A0/B1 Y0=A0B0 /A1/B1//B0

≥1 Y1=?A1A0B1?A1A0B0?A1B1B0?A0B1B0 Y2

& &

/A1/A0/B1 A1/ A0

≥1 &

Y3

B1/ B0 A1//A0/B0 Y1

&

A1//B1/B0

&

& A0 B0 Y0 A0/B1//B0 5-9.试用双4选1数据选择器构成8选1数据选择器。 解:见典型例题→分析、设计及计算题4或见实验2-4

5-10.试分别用译码器和数据选择器设计一个既能进行一位二进制数的加法运算,又能进行一位二进制数的减法运算的组合电路。(X为控制信号,为0时做加法运算,为1时做减法运算)加法运算和减法运算的真值表见表P5.6所示。 (用译码器实现组合逻辑函数本书未讲,其原理是利用译码器的输出亦是最小项输出,即Yi?mi,所以可以用它很方便地实现一个任意的组合逻辑函数,因为超纲,可作选做题)

解:由上述真值表可以求得:

1. 1. 加法运算 Si=X(AiBiCi?1?AiBiCi?1?AiBiCi?1?AiBiCi?1) =X?AiBiCi?1?AiBiCi?1?AiBiCi?1?AiBiCi?1 =X?m1?m2?m4?m7

Ci=X(AiBiCi?1?AiBiCi?1?AiBiCi?1?AiBiCi?1) =X?m3?m5?m6?m7

2.减法运算 Di=X(AiBiCi?1?AiBiCi?1?AiBiCi?1?AiBiCi?1) =X?m1?m2?m4?m7

Ci=X(AiBiCi?1?AiBiCi?1?AiBiCi?1?AiBiCi?1) =X?m1?m2?m3?m7 用两片3线-8线译码器74138实现:

加法运算 减法运算

Si Ci Di Ci

/Y0/Y1/Y2/Y3/Y4/Y5/Y6/Y7 A0 A1 A2 S1 S2 S3 //

A0 A /Y0/Y1/Y2/Y3/Y4/Y5/Y6/Y7 A0 A1 A2 S1 S2 S3 A1 A2

X 1 0 0 用两片双四选一74153多路选择器实现:

加法运算 减法运算 Si Ci Di Ci

Ai Bi

A1 Y1 Y2 A0 S1 D10D11D12D13 S2 D20D21D22D23 A1 Y1 Y2 A0 S1 D10D11D12D13 S2 D20D21D22D23

1 X Ci-1 0 1 1 0 1 5-11.试用两片数值比较器CC14585组成一个八位数值比较器。

解:答案见教材P150图5.6.9(a),取两片CC14585数值比较器。

第六章习题、答案

思考题

1.试分别写出基本RS触发器,门控D锁存器,边沿JK触发器的特性方程,列出真值表,画出逻辑符号和状态图。

2.触发器有哪几种常见的电路结构形式,简述它们各自的动作特点。 3.试说明触发器逻辑功能和电路结构的关系。 4.RS触发器约束方程的含义。

5.试说明异步控制信号在触发器电路中的异步复位。置位功能。 6.试说明时序逻辑电路的特点、与组合电路的区别。 7.什么叫同步时序电路,什么叫异步时序电路? 8.试说明同步时序电路和异步时序电路的分析步骤。 9.什么叫计数器的有效状态,什么叫无效状态?

10.什么叫能自启动的时序电路,什么叫不能自启动的时序电路?

11.用二个、四个。八个触发器组成的同步计数器电路,其计数进制w可分别达到多少? 12.用中规模集成计数器芯片构成任意进制计数器常用的方法有哪几种,它们各有什么特点?

13.试说明施密特触发器、单稳态触发器。多谐振荡器的工作特点,并说明每种电路的主要用途。

14.试说明施密特触发器、单稳态触发器。多谐振荡器各有几个暂稳态和能自动保持的稳定状态。

填空题

1.用JK触发器接成T触发器,正确接法是将输入端JK 均接高电平 2.要使JK触发器实现Q0= Q0的功能,应使J= 1 ,K= 1 3.JK触发器具有置0,置1, 保持 和 翻转 功能。 4.D触发器具有 置1 和 置0 功能。

5.仅具有置1和置0功能的触发器称为 D 触发器。

n+1

n

6.TTL型触发器正常工作时,异步置位SD,复位端RD的正确接法是接 高电平 。 7.要使触发器异步置位,应使SD= 0 ,RD= 1 ;要使触发器异步复位,应使应使SD= 1 ,RD= 0 。

8.时序逻辑电路的特点是输出不仅取决于当时 输入 的状态,而且还与电路 原来 的状态有关,因此,电路中必须包含有 存储 电路。

4

9.用4个触发器组成的计数器最多可有 2个有效状态,它称为 16 进制计数器。若要构成五进制计数器,最少用 3 个触发器,有 3 个无效状态。

10.按数码的存取方式,寄存器可分为 串行 寄存器和 并行 寄存器。 11.移位寄存器可分为 左移 寄存器, 右移 寄存器和 双向 寄存器。

12.四位双向移位寄存器74LS194的功能表见表6.4.1。要实现保持功能,应使S0=0,S1=0,

RD=1,当S0=0,S1=1,RD=1时,电路实现功能 左移 。

13.按各个触发器状态转换与CP的关系,计数器可分为 同步 计数器和 异步 计数器。 14. 按状态转换规律,计数器可分为 加法 计数器和 减法 计数器和 可逆 计数器。 15.按计数长度,计数器可分为 二进制 计数器, 十进制 计数器和 N进制 计数器。 16.四位二进制可逆计数器74LS191的功能表见表6.4.4。U/D为加减计数的控制端,当

其值为 0 时,作加法计数, LD 为预置数控制端, 低电平 有效。

17.用四位同步二进制计数器74LS161构成从0至(M一1)的M进制计数器(M<16),若采用置数法,控制门(与非门)的输入应接计数器输出状态 M-1 (M或M-1),(74LS161的功能参见表6.4.3),同步输入端D3D2D1D0的状态应为 0 0 0 0 。

18.施密特触发器是一种脉冲 整形 电路,用于脉冲波形的 变换 和 整形 。 19.施密特触发器具有 滞回特性 ,电路的参数有 UT1 , UT2 和 ΔUT 。 20。单稳态触发器是一种脉冲 整形 电路,多用于脉冲波形的 整形 ,

延时 和 定时控制 ,它的主要参数有 脉冲宽度 TW 和 ? 。 21.单稳态触发器从稳态到暂稳态的转换靠 外加触发脉冲 的作用,在暂稳态维持一段时间后返回稳态。暂稳态维持时间的长短由定时元件 RC 决定,与 输入信号(但输入脉冲宽度要小于输出脉冲宽度即暂稳态时间) 无关。

22.多谐振荡器是一种 矩形脉冲产生 电路,它有两个 暂稳态 ,暂稳态时间的长短决定于时间元件RC的 充放电 时间,它的主要参数是 振荡周期T 。

练习

*(6-1)一.在图P6.2.1所示的基本RS触发器中,若R、S的波形如图P6.1(a)和(b),试分别画出对应的Q、Q的波形。

解:基本RS触发器电路如下。

根据RS触发器功能表,用低电平表示0、用高电平表示1,即可画出波形图。 应当注意:

1.当S、R均为0时,是触发器非正常工作,此时输出Q和Q均为1。X上图(a)(b)第一段错,(a) Q、Q均为1,且Q末尾有误 (b)中R开始波形与书上有出入,

2.当非正常工作结束后,出现竞争险象,结果状态不定,出现图中用灰色表示的方块。 二.在图6.2.1所示的基本RS触发器电路中,若S、R的波形如图6.2所示,试对应画出输出Q和Q端的波形。

解:基本RS触发器电路如下:

根据RS触发器功能表,用低电平表示0、用高电平表示1,即可画出波形图。 应当注意:

1.当S、R均为0时,是触发器非正常工作,此时输出Q和Q均为1。

2.当非正常工作结束后,若S、R同时变为1,则出现竞争险象,结果状态不定,图中用灰色的方块表示。

X上图中输入应为S、 R 三.在图6.2.3所示的门控RS触发器电路中,若输入S、R和E的波形如图6.3(a)和(b),试分别画出对应的输出Q和Q端的波形,设触发器初始状态为0。

解:门控RS触发器电路如下:

门控RS触发器功能相对于基本RS触发器,多了一个同步信号E。在同步信号E有效期

间,电路的功能同基本RS触发器,用低电平表示0、用高电平表示1,即可画出波形图。 应当注意:

1.在同步信号E有效期间用S、R的输入信号决定输出端Q、Q。 2.当S、R均为1时,是触发器非正常工作,此时输出Q、Q均为1。

3.当非正常工作结束后,若S、R同时变为0,则出现竞争险象,结果状态不定,图中用灰色的方块表示。

X 上图中Q的起始(E=0时)应为1,E的2、3、4脉冲对应输出波形均不对, X 下图中当E=0时,应保持,开始一段波形有误.

四.在图6.2.5所示的D锁存器电路中,若输入D、E的波形如图P6.4(a)和(b)所示,试分别对应画出输出Q和Q端的波形,设触发器的初始状态为0。

解:D锁存器电路如下:

n+1

D锁存器取消了同步SR触发器的约束条件,在同步信号E有效期间,按照Q = D的特性方程,用低电平表示0、用高电平表示1,即可画出波形图。

应当注意:在同步信号E有效期间D的输入可以引起输出端Q的多次翻转。

X 上图中D第二个脉冲期间波形与书上略有不同,导致输出波形有误;另C应为E

*(6-2)五.试分析图P6.5(a)和(b)所示电路的逻辑功能,并根据给定的A、B、CP波形分别对应画出输出Q端的波形,设触发器的初始状态为0。

X 上图(a)前面两个门电路,大作业上是或非门而不是与非门,故输出波形出入较大(CP=1约束, CP=0,输入控制输出).建议按书上练习题5与非门做,同上。 解:

1.列出电路(a)的真值表,从表中可以看出,电路为门控RS触发器。其中,S=A、R=B。

nn+1

CP A B Q Q

n

0 * * * Q

n

1 0 0 * Q 1 0 1 * 0 1 1 0 * 1

1 1 1 * 不允许

按照给定波形画出电路的输出波形(下图中下注倒数第三和第二位置错一位)。 2.列出电路(b)的真值表,从表中可以看出,电路为D锁存器。其中,D=A。

n+1

CP A Q

n

0 * Q 1 0 0 1 1 1

按照给定波形画出电路的输出波形。

六.在图6.2.7所示的主从RS触发器中,试根据图P6.5(c)所示的A(S)、B(R)、CP波形对应画出输出Q端的波形,设触发器的初始状态为0。 解:从主从RS触发器的逻辑图中可以看到,只有CP的下降沿方能把主触发器的输出作为从触发器的有效输入信号R、S。所以在绘图时,对应CP下降沿的R、S信号即可作出输出波形。

X 上图中最后一个CP下降沿输出波形有误

*(6-3)七.在图6.2.8所示的主从JK触发器中,试根据图P6.5(C)所示的A(J)、B(K)=CP波形对应画出输出Q和Q端的波形,设触发器的初始状态为0。(X大作业缺B、CP波形,

可参考书上P222练习题7做) X下图中主从JK触发器符号有误

解:在画图前应该首先考虑主从JK触发器的功能表。在CP有效期间,功能表有:

n+1

A(J) B(K) Q

n

0 0 Q 0 1 0 1 0 1 1 1 翻转

从主从JK触发器的逻辑图中可以看到,只有CP的下降沿方能把主触发器的输出作为从触发

器的有效输入信号J、K。所以在绘图时,对应CP下降沿的J、K信号即可作出输出波形。 X 下图中波形S、R应为J、K,最后一个CP下降沿对应的输出波形有误

*(6-4)八.在图P6.8(a)、(b)和(c)所示的三个边沿D触发器中,试根据图中给定的CP、A的波形对应画出其输出Q端的波形。设触发器的初始状态均为0。

解:按照D触发器的特性方程Q=D,则Q1=Q1(保持)、Q2=Q2(翻转)、Q3=A(置数)。 注意Q2是CP下降沿触发。X 下面触发器符号不对,图(b)下降沿末标出, Q3波形画得不对,

n+1

n+1

n

n+1

n

n+1

且应标出CP下降沿 *(6-5)九.在图P6.9(a)、(b)和(c)所示的三个边沿JK触发器中,试根据图中给定的CP波形对应画出其输出Q端的波形。设触发器的初始状态均为0。 解:按照JK触发器的特性方程Q

n+1

=JQ+KQ,则Q1

n

n

n+1

=Q1,Q2

n

n+1

=Q2,Q3

nn+1

=Q3。

n

注意Q1是CP下降沿触发,Q2是CP上升沿触发,Q3是CP上升沿触发。

*(6-6)十.在图P6.10(a)所示的四个边沿触发器中,若已知CP、A、B、C的波形如图(b)所示,试对应画出其输出Q端的波形。设触发器的初始状态为0。 解:按照JK触发器的特性方程Q

n+1

=JQ+KQ,则Q2

n

n

n+1

=AQ2+ BQ2,Q4

n

n

n+1

=AQ4+ BQ4。

n

n

按照D锁存器的特性方程Q=D,则Q1=A、Q3=A。

注意:Q1、Q2的CP 是前沿触发,Q3、Q4是后沿触发。在画图时注意异步置位、复位端优先。

n+1n+1 n+1

X Q1应在最后一个CP上升沿由1变为0,而不是下降沿;Q3波形当C=0时应异步置1,末尾错. 十一.触发器电路如图P6.11(a)所示、试根据图(b)给定的CP、A的波形,对应画出输

出Q1和Q2的波形,设两个触发器初始状态均为0。

解:分析该电路可以按照写出驱动方程、带入触发器的特性方程得到状态方程的步骤求解,Q1=AQ1、Q2= Q1Q2+Q1Q2=Q1⊕Q2

*(6-7)十二.触发器电路如图P6.12所示。试根据图(b)给定的CP波形,对应画出其输出

n+1

n

n+1

n

n

n

n

Q1、Q2、Q3的波形,设备触发器初始状态均为0。 解:

0分析电路,前后两个串联的JK触发器和T触发器均接成T触发器,是一个典型的二进制加法计数器,状态图为00→01→10→11→00,即除4电路。后一个独立的D触发器亦接成T

触发器且T=1(翻转),为二分频(除2)电路。Q1

n+1

=Q1,Q2

n

n+1

nnnn n+1n

= Q1Q2+Q1Q2, Q3=D3=Q3

X Q3波形应为上升沿触发

十三.触发器电路如图P6.13(a)所示。试根据图(b)给定的CP波形,对应画出其输出Q1、Q2和Q3的波形,设各触发器初始状态均为0。 解:提示:异步时序电路分析析,Q1

n+1

n

n+1

=Q1(翻转),Q2

nn

n

n+1

nnnn

= Q1Q2+Q1Q2(异或),CP1触发,

n+1

Q3触发器的特性方程Q3=J3Q3+K3Q3= J3 = Q2,即在CP2的作用下Q3

注意:Q1、Q2的触发脉冲分别是CP的前后沿,要注意时序对齐。

输出波形同Q2。

n

十四.触发器电路如图P6.14(a)所示。试根据图(b)给定的CP波形,对应画出其输出

Q1和Q2的波形,设各触发器初始状态均为0。 解:提示:异步控制端的作用,优先. 1.写出驱动方程:D1=1、Q1

n+1

=D1=1,J2= Q1、K2=1,Q2

nn+1

nn nn

= J2Q2+K2Q= Q1Q2,

写出输出方程RD= CP*Q2。

(2.画出状态转换表(本题要求画出波形图,第2步可不做)

现态 次态

Q2 Q1 Q2Q1 Rd 0 0 0 1 1 0 1 1 1 0 1 0 0 1 1

n

n

n+1

n+1

1 1 0 0 1 )

X 上图Q1和Q2及d的波形均有误

*(6-8)十五.触发器电路如图P6.15(a)所示。试根据图(b)中CP、A的波形,对应画出输出Q1和Q2的波形,设各触发器初始状态均为0。(与例6.2.9相同,P174)吉

R解:Q1为T触发器(T=1),具有翻转功能,其异步复位在脉冲A的上升沿时由Q1=1产生。 X 上图少一个CP脉冲

十六.试分析图P6.16电路。列出状态转换表。画出状态转换图,说明功能。

解:提示:同步时序电路分析

1.写出驱动方程,J1=K1=1,J2=K2=Q1。输出方程 Y=Q1Q2。

n+1nnn+1nn+1nn nn

2.带入JK触发器的特性方程Q=JQ+KQ,则Q1=Q1,Q2=Q1Q2+ Q1Q2。 3.列出状态表:现态 次态 输出

Q2 Q1 Q2 Q1 Y 0 0 1 1 1 1 1 1 0 0 1 0 0 1 0 0 1 0 0 0

4.画出状态转换图:00→11→10→01→00.(当Q2,Q1为0,0时Y=0) 5.结论:四进制同步减法计数器,当状态为00时有借位。

十七.列出图P6.17电路的状态转换表。画出状态转换图。 解: 1.写出驱动方程,J1=1,K1=Q2,J2=K2=Q1。J3=K3=Q1,

n

n

n

nnnQQ2Q3= Q1n+Q2n+Q3n。 1输出方程 Y=

n+1nn

2.带入JK触发器的特性方程Q=JQ+KQ,

则 Q1

n+1

=Q1+Q2Q1= Q1+Q2

n

n

n

n

nn nn n

= Q1Q2+ Q1Q2=Q1

n

n

n

n

n

Q2

n+1

Q3=Q1Q3+ Q1Q3

3.列出状态表:现态 次态

nnnn+1 n+1n+1Q3 Q2 Q1 Q3 Q2 Q1 0 0 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 0 0 1 0 1 1 0 1 1 0 1 0 0 1 0 1 0 1 1 0 0 0 0 1

4.画出状态转换图:000→101→111→110→001→011→010→101 100 5.结论:是一个六进制计数器,能自启动。

十八.试分析图P6.18电路。列出状态转换表。画出状态转换图,说明功能。

n+1

解:1.写出驱动方程,D3=Q3Q2,D2= Q3,D1= Q2。

n+1

2.带入D锁存器的特性方程Q=D

n

n

n

n

则 Q3=Q3Q2 ,Q2= Q3 ,Q1= Q2 3.列出状态表:现态 次态

nnnn+1 n+1n+1Q1 Q2 Q3 Q1 Q2 Q3 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 1 0 0 1 0 0 0 0 1 0 1 1 1 1 0 1 1 0 1 0 0 1 0 1 0 1 0 1 1 1 1 1 0

n+1

n

n

n+1

n

n+1

n

本文来源:https://www.bwwdw.com/article/mgl8.html

Top