四位奇偶校验器设计

更新时间:2023-09-11 05:12:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

题 目:基于可编程逻辑器件的四位奇偶校验器设计 指导老师: 学生姓名: 所属院系: 专 业: 班 级: 学 号:

完成日期:

本科生课程设计任务书

班 级: 姓 名:

设计题目:基于可编程逻辑器件的四位奇偶校验器设计

要求完成的内容:1.设计出一个奇偶校验逻辑电路,当四位数中有奇数个

1时输出结果为1;否则为0。 2.写出该电路的真值表。 3.采用逻辑门电路或可编程逻辑阵列PLA实现。 4.画出详细的电路图。 5.写出详细的原理说明。

指导教师:

教研室主任:

一、概述

2

奇偶校验是一种荣誉编码校验,在存储器中是按存储单元为单位进行的,是依靠硬件实现的,因而适时性强,但这种校验方法只能发现奇数个错,如果数据发生偶数位个错,由于不影响码子的奇偶性质,因而不能发现。

奇偶校验是一种校验代码传输正确性的方法。根据被传输的一组二进制代码的数位中“1”的个数是奇数或偶数来进行校验。采用奇数的称为奇校验,反之,称为偶校验。采用何种校验是事先规定好的。通常专门设置一个奇偶校验位,用它使这组代码中“1”的个数为奇数或偶数。若用奇校验,则当接收端收到这组代码时,校验“1”的个数是否为奇数,从而确定传输代码的正确性。

二、写出详细的原理说明

奇偶校验法是对数据传输正确性的一种校验方法。我们所涉及的奇偶校验逻辑电路是用来表示传输的数据中\的个数是奇数还是偶数,为奇数时,校验位置为\,否则置为\。例如,需要传输\,数据中含3个\,所以其奇校验位为\需要传输\数据中含4个\所以其偶校验位为\。 上面设计的奇偶校验逻辑电路就是属于单向奇偶校验逻辑电路,当我们输入一个四位数1000时A,B端所流的直流通过U1A异或门后输出为高电平,而C,D端所流的直流通过U2A异或门后输出为低电平,它们个别通过U6A和U7A非门后输出的是低电平,高电平。最后通过与非门时输出为高电平,灯亮。

当我们输入1100时A,B端所流的直流通过U1A异或门后输出为低电平,而C,D端所流的直流通过U2A异或门后输出为低电平,流过U6A和U7A非门后输出的是高电平,高电平。通过与非门时输出为低电平,灯灭。其他14个四位数的原理都跟这上面的原理类似。

3

三、写出该电路的真值表: 输入 A B C D 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 输出 Y 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0

备注:( A, B, C, D 分别为校验器的四个输入端,Y时校验器的输出端)

画出该电路的卡诺图:

写出该电路的表达式:

4

ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?ABCD?AB(C?D)?ABCD?CD?AB?C?D??ABCD?CD?AB?C?D??AB?AB?C?D??AB?C?DAB?AB?A?B?C?D?A?B?C?DA?B????

??

四、采用逻辑门电路或可编程逻辑阵列PLA实现

五、画出详细的电路图

5

本文来源:https://www.bwwdw.com/article/ls4h.html

Top