宽带直流放大器论文

更新时间:2023-04-21 12:00:01 阅读量: 实用文档 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

2009年全国电子设计大赛论文

题目名称:宽带直流放大器(C题)

论文编号:C甲3832

本课题采用集成电压放大电路和分立元件构成的功率放大电路,具有硬件电路形式简单,调试容易,频带宽,增益高,AGC动态范围宽的特点,且增益可调,步进间隔较小。本宽带放大器以可编程增益放大器AD603和NE5532为核心,由三级放大器组成,由于AD603的输入阻抗为100Ω,满足题目所要求。前两级为AD603组成的可变增益放大器,主要作用是实现增益可调及AG能,第三级为由NE5532构成的放大电路,其主要功能是近一步提高系统的增益,并且使系统的3dB带宽稳定在题目要求的10Hz左右。增益控制和AGC功能都由单片机控制,可预置并显示增益值,增益可调范围0dB~60dB,步进5dB,由单片机自动调节放大倍数可实现AGC功能,使输出电压稳定在4.5V~5.5V之间负载能力,提高输出电压幅度。由于宽带放大器普遍存在容易自激及输出噪声过大的缺点,故本系统采用减少耦合电容以避免系统产生的自激振荡的方法。

关键词:AD603, AGC, 增益可调 宽带。

一 方案论证与比较…………………………………………………….4

1.1 增益控制部分 ................................................................................................... 4 1.2 运放的选择方案论证 ... ………………………………………………………4错误!未定义书签。

1.3 功率输出部分方案论证 .................................................................................. 5

二 主要电路原理分析和说明 ............................................................................... 5

1 增益分配 ........................................................ 5 2 前级电压跟随器 .................................................. 5 3 增益控制电路.................................................... 5 4 单片机小系统.................................................... 6 5 软件设计 ................................................................................................................ 7 6 输出级功率放大电路设计 .................................................................................... 8 7 自动增益控制设计 ................................................................................................ 9 8电源电路设计……………………………………………………………………...7

三.数据测试与分析……………………………………………………8

1.测试使用的仪器..................................................8 2.测试数据 8 3.控制测量........................................................11 4噪声控制 11

四.进一步改进措施……………………………………………11 附录

附录1:元器件明细表 附录2:电路图 附录3:参考文献

附录4:部分源程序代码

一 方案论证与比较

1.1增益控制部分方案论证

方案一 采用可编程放大器的思想,将输入的交流信号作为高速D/A的基准电压。理论上讲,只要D/A的速度够快、精度够高就可以实现很宽范围的精密增益调节。但是控制的数字量和最后的增益(dB)不成线性关系而是成指数关系,造成增益调节不均匀,精度下降。

方案二 使用控制电压与增益成线性关系的可编程增益放大器PGA,用控制电压和增益(dB)成线性关系的可变增益放大器来实现增益控制(如图2)。用电压控制增益,便于单片机控制,同时可以减少噪声和干扰。

综上所述,选用方案二,采用集成可变增益放大器AD603或OP657作增益控制。

1.2运放的选择方案论证

方案一 使用AD603作为增益控制部分。AD603 是AD 公司研制的一种新型的运算放大器, 它不但具有低噪声影响, 高频带宽度, 稳定性能好的特点, 还具有电压控制的可变增益功能。这种可变增益功能是其它运放所不能比拟的。

方案二 OPA657提供了低投入的独特组合电压噪声,高增益带宽和直流精度特殊高投入阻抗,高增益级放大器。但是OPA657不具有电压控制的可变增益功能。

综上所述,选用方案一,采用集成可变增益放大器AD603作增益控制。系统方框图见图1-1。

图 1-1 系统总体方框图

1.3功率输出部分方案论证

方案一 我们采用集成功放芯片LM386电压增益最大时的用法,见附录图1-2,但是LM386的带宽只有300Hz,而本题要求系统的3dB带宽为10MHz。显然,不符合题目带宽要求。 方案二 根据赛题要求,放大器通频带从0到5MHz,发挥部分的通频带要求0到10MHz。单纯用音频或射频放大的方法来完成功率输出,要做到2V有效值输出难度较大,而用高电压输出的运放来做又很不现实,因为市面上很难买到宽带功放。这时候采用分立元件就能显示出优势来了,我们采用OCL甲乙类互补对称电路,见附录。

二.主要电路原理分析和说明

1. 增益分配

本系统以可变增益增益放大器AD603为核心,其它各单元电路都是根据AD603及题目要求设计。

题目基本部分要求最大增益要大于40dB,最大输出电压有效值大于等于2V,而中间级采用的可编程增益放大器AD603和NE5532对输入电压和输出电压均有限制,所以,必须合理分配三级放大器的放大倍数。

AD603的最大输出电压有效值约为1.2V,假如要实现发挥部分的最大输出电压有效值大于等于10V的要求,即输出电压峰峰值 V

pp min

=2×10×

28.2V,为得到最大输出电压,则后级放大至少要有9倍。我们发现,AD603在输出电压过大时,波形会有失真。为了实现输出不失真,同时尽量扩大输出电压,把AD603最大输出电压的峰峰值为定为2V左右,则放大倍数:

A=

28.2 = 14.1 = 23 (dB) 故后级需要放大8.5倍,即17 dB。

2. 前级电压跟随器

由于AD603输入阻抗是100欧,需加大输入阻抗才能满足题目要求,而且前级信号比较小,容易受噪声干扰,经过综合考虑。我们在前级放大采用电压跟随器芯片OPA642,其带宽较宽。电路图见附录图2-1。 为了满足题目要求输入阻抗大于50Ω选取R3 = 100。

3. 增益控制电路:

AD603的简化原理框图见附录图 2-2,它由无源输入衰减器、增益控制界面和固定增益放大器三部分组成。图中加在梯型网络输入端(VINP)的信号经衰减后,由固定增益放大器输出,衰减量是由加在增益控制接口的电压决定。增益的调整与其自身电压值无关。而且,如果AD603的增益用dB表示,则与控制电压成线性关系,以上特点很适合构成本题要求的放大器。

4. 单片机小系统

单片机系统采用AT89C52为核心,时钟信号采用12MHz晶体,扩展了32k的外部数据存储器,采样FLASH ROM 28C256作为外部数据存储器,可以保存预置增益值。采用可编程键盘专用接口芯片8279扩展键盘;显示部分采用128×64的点阵液晶显示器,换器用D/A转换器用DAC0832。单片机系统方框图如图2—4。

图2-4 单片机系统方框图

5. 软件设计

由于本系统中单片机只起控制增益和显示的作用,所以软件设计比较简单。启动后进入增益控制界面,可以通过按键调节增益,步进1dB,还可以切换显示输出正弦电压有效值和峰峰值,以及切换到AGC功能。

6.输出级功率放大电路设计:

后级输出电路我们采用OCL功率放大电路形式如图2-4。

图2-4 后级功率放大电路

7.自动增益控制设计:

本系统的自动增益控制功能,实际是由增益控制电路转化而来。在输出级

加入检波电路,检出直流电压送入A/D采样,经单片机计算后控制D/A输出,此电压加到AD603的增益控制端,从而控制AD603的增益达到使输出电压恒定的目的。

8.电源电路设计:

整个系统需要的电源有+5V,-5,+12V,-12V。 +5V/-5V是前置放大器和AD603的工作电源.+12/-12是输出级运放工作电源。电源采用变压器变压后整流,滤波,再接三端稳压芯片7812,7912,7805,7905。各稳压芯片都配有散热片,最大输出电流可达1.5安。满足系统要求。电路图见附录图2-5-1,2-5-2。

输出±12 V 设计输出电流至少为300 mA。假设电网交流电压变化范围为15%~20%,在0.01s内电压变化为 U

U

MAXd QI. t0.3 0.01C 1250 F

U U2.4

U (1 20%) 0.7 U 12 2.4V

其中,U =16 V(变压器输出交流电压), U

d

3V为 7812、7912最小

压降。设计取滤波电容C=3300 F,即可满足要求。同理输出±5 V时取C=

3300 F同样满足要求。

三.数据测试与分析

1.测试使用的仪器

1)HP33120A型15MHz函数信号发生器

2)Agilent 54622D 100MHz示波器 3)SX2172交流毫伏表

4)SS1793可跟踪直流稳定电源 5)DA22A 超高频毫伏表 6)DT9205数字万用表

2.测试数据:

(1) 输入电阻:

测试方法:在信号源与输入端串一个100Ω的电阻,测量输入端的电压值,比信号源显示的电压小一半,可知,系统的输入电阻为100Ω,满足题目要求的输入阻抗≥50Ω (2) 幅频特性

测试方法:

一般宽带放大器测试有两种方法,即“稳态法”与“暂态法”。 根据题目要求的测试参数,我们选用“稳态法”测量。

“稳态法”是以正弦波作为输入信号,在频域内研究放大器的特性。根据要求采用点频法。 测试框图如图3-2-1

图3-2-1

测试数据:表中电压单位:V;频率单位:Hz 3 dB 带宽 0—10.063KHz ,1dB 带宽 0KHz ~18MHz

表3-2-2 输入电压有效值Vin=0.13V,增益34dB

最大输出电压有效值 Vrms = 6.6V。

测试输入信号峰峰值Vin = 20 mV ,增益40dB ,带宽大于15MHz。(所用信号源最大输出频率15MHz)

图3-2-2 ~图3-2-5分别为以上两个数据表格 3dB带宽的两端频率的输出波形,这些图形是HP54622D混合信号示波器上实际显示的波形。 图3-2-2 10KHz输出最大值波形

图3-2-3 7.38MHz时输出电压最大值

测试数据分析:由测试数据可知,放大器的带宽随输出信号的增大而减小,而且其带宽减小是由于波形失真,分析可得,影响带宽的因素是运放的压摆率,如果采用压摆率更高的运放,在输出大信号时可进一步提高带宽。

3. 增益控制测量:

数据表格如表3-3-1 ~ 3-3-3

增益单位:dB;电压单位:V ; 输入信号幅度值为峰峰值 输入信号峰峰值Vin = 25 mV,f=10KHz;

表3-3-2 Vin = 109mV

f=3MHz

表3-3-3 Vin = 109mV f=6MHz

最大绝对值为0.5dB,满足题目要求。

4 噪声控制

抗干扰措施必须要做得很好才能避免自激和减少噪声。我们采用下述方法减少干扰,避免自激:

(1)将输入部分和增益控制部分装在屏蔽盒中,避免级间干扰和高频自激; (2) 电源隔离,各级供电采用电感隔离,输入级和功率输出级采用隔离供电。 (3 构建闭路环

四.进一步改进措施:

经过四天三夜的努力,我们较顺利的完成了本系统,达到了题目要求的指标,但由于时间关系,还不能做到尽善尽美。本系统还存在一定的扩展能力。例如可通过选用压摆率更高的运算放大器从而进一步拓宽通频带,提高最大输出电压有效值。

在输出电压有效值为6V时,其带宽可达到40MHz。通过改进单片机程序,可使AGC的输出电压稳定在不同的电压范围内。另外,如果将电路制作成PCB板,合理的布局以及采用大面积覆铜等措施,可以使噪声电压降到更低,进一步提系统的性能。

附录

附录一:主要元器件明细表

附录二:电路图

图1-2

图2-1 前级电压跟随电路

图2-2 AD603原理框图

图2-3 两级AD603级联电路图

图2-5-1,2-5-2

附录三:参考文献

( 1 )《电子线路设计、试验、测试》 谢自美主编 华中理

工大学出版社出版

( 2 )《第四届全国电子设计竞赛获奖作品选编》第三届全国电子

设计竞赛组委会编 北京理工大学出版社出版

( 3 )《全国大学生电子设计竞赛获奖作品精选 1994-1999 》

全国大学生电子设计竞赛组委会编 北京理工大学出版社

( 4 )《 MCS-51 系列单片机应用系统设计》何立民编著 北京

航空航天大学出版社出版

( 5 )《电子测量》 刘国林 殷贯西等编著 机械工业出版社出版 ( 6 )《一种性能优良的自动增益控制电路》 张淑娥 杨再

旺 李文田 华北电力大学

附录四:部分源程序

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ad0820 is

port(d_in:in std_logic_vector(7 downto 0); clk_12M,int:in std_logic; cs,rd,wr:out std_logic;

d_out:out std_logic_vector(7 downto 0) ); end ;

architecture behav of ad0820 is

type states is (st0,st1,st2,st3,st4,st5); signal current_state,next_state:states:=st0; signal d_mid:std_logic_vector(7 downto 0); signal clk:std_logic; begin

cs<='0';rd<='0'; ch: process(clk_12M)

variable data:std_logic_vector(1 downto 0); begin

if clk_12M'event and clk_12M='1' then if data="01" then data:=(others=>'0'); clk<=not clk; else

data:=data+1; end if; end if; end process ch; reg:process(clk) begin

if (clk'event and clk='1') then current_state<=next_state; end if; end process reg;

com:process(current_state,int,clk) begin

d_out<=d_mid;

case current_state is when st0=> wr<='0';

next_state<=st1; when st1=> wr<='1';

next_state<=st2; when st2=>

if(int='0') then next_state<=st2; elsif int='1' then next_state<=st3; end if; when st3=>

if int='1' then next_state<=st3; elsif int='0' then next_state<=st4; end if; when st4=> wr<='0'; d_mid<=d_in; next_state<=st5; when st5=>

next_state<=st0; when others=> null; end case; end process com; end behav;

本文来源:https://www.bwwdw.com/article/l7hq.html

Top