智能客车超载检测系统的设计

更新时间:2023-10-17 23:25:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

长春工业大学学士学位论文

长 春 工 业 大 学

毕业设计、毕业论文

题 目 智能型客车

超载检测系统设计

学 院 电气与电子工程学院 专业班级 电气工程及其自动化090307 指导老师 唐 娜 姓 名 吴 飞

2013年6月11日

长春工业大学学士学位论文

指导教师签字:

评阅人签字:

年 月年 月

长春工业大学学士学位论文

摘 要

本文设计了一个客车超载监控系统,以MCS-51单片机为核心,利用反射式红外传感器、方向识别电路、报警电路、锁定电路设计,能够通过键盘手动设置乘客人数的上限值,并用LED显示器显示车内的实时乘客人数。当客车内人数超载时,系统发出声光报警,并通过继电器切断客车的电子启动装置,从而将汽车锁定,使之无法行驶。当超载解除后,声光报警也相应的解除,客车锁定解除,可以正常运行。

本设计共分两部分,硬件系统设计和软件系统设计。硬件部分利用红外传感器和方向识别电路将乘客的上、下车情况进行检测和判断并输入单片机系统。经过单片机系统将处理的数据送LED显示,声光报警电路由蜂鸣器和发光二极管组成,用继电器实现对客车启动装置的锁定。软件部分用汇编语言进行编程,采用模块化设计思想。该系统通过调试后,能够较好的完成客车超载的预警提示,并对超载情况进行相关控制。

关键词:客车;超载;监控;单片机

I

长春工业大学学士学位论文

II

长春工业大学学士学位论文

Intelligent Passenger Overload Detection System Design

Abstract:

This paper illustrates the design of a carriage overload monitoring system.MCS-51 MCU as core is used to design hardware circuit and software.In this subject we use infrared sensors,identify circuit and alarm-circuit latch circuit to design a carriage overload monitoring system that enables the keyboard to manually set limits on the number of passengers and can use LED at the same time to display the number of passengers inside.If the passenger overloading,the sound and light alarm system cuts off the bus through the electronic launch devices.By doing this,the overload monitoring system can lock the car,so that the car can’t be started.When the overload is canceled,the sound and light warning is canceled. The bus is also unlocked and can be operated normally.

The design is divided into two parts, hardware system design and software system design .The hardware systems parts uses infracted sensors and identify circuit to detect and judge the situation whether the passengers are getting on or off the bus .This system can display the number of passengers on LED. The sound and light alarm circuit is composed of buzzer and LEDs, the lock function of the bus’s launch devices achieves by using the relay unit. Assemble language is used in the software system and modularization design idea is adopted .The monitor system can complete an alarm beforehand for overload, meanwhile the mutuality control will be adopted.

Key words: carriage;overloading;monitoring; SCM(single chip micro computer)

II

长春工业大学学士学位论文

目 录

第1章 绪 论 ........................................................... 1

1.1立题的意义 ...................................................... 1 1.2课题研究任务及目标 .............................................. 2 1.3课题主要内容 .................................................... 2 第2章 客车超载检测系统的方案论证 ...................................... 4

2.1系统的原理 ...................................................... 4 2.2系统的组成 ...................................................... 4 第3章 客车超载监控系统的硬件设计 ...................................... 6

3.1客车超载监控系统的检测电路 ...................................... 6

3.1.1传感器的选择 ............................................... 6 3.1.2反射式红外传感器检测原理 ................................... 7 3.1.3方向识别电路的设计 ......................................... 8 3.1.4 双D触发器 ............................................... 10 3.1.5 7406 芯片 ................................................ 10 3.2单片机最小系统的设计 ........................................... 13

3.2.1单片机的选择 .............................................. 13 3.2.2单片机外围电路的设计 ...................................... 15 3.3显示电路的设计 ................................................. 17

3.3.1 7407集电极开路六正相高压驱动器 ........................... 18 3.3.1LED显示器 ................................................. 19 3.3.3显示电路 .................................................. 20 3.4键盘电路的设计 ................................................. 21 3.5报警电路的设计 ................................................. 22 3.6客车锁定电路的设计 ............................................. 23 3.7供电电源电路的设计 ............................................. 24 第4章 客车超载监控系统的软件设计 ..................................... 26

4.1客车超载监控系统的软件设计方案 ................................. 26 4.2 T0、T1中断计数程序的设计 ...................................... 28 4.3按键子程序的设计 ............................................... 29 4.4报警与锁定子程序的设计 ......................................... 30 4.5显示子程序的设计 ............................................... 31 结 论 ................................................................ 33 致 谢 ................................................................ 34 参考文献 .............................................................. 35 附录I 客车超载监控系统硬件系统原理图 .................................. 37 附录Ⅱ 客车超载监控系统程序清单 ....................................... 38 附录III 客车超载监控系统元器件清单 .................................... 44

长春工业大学学士学位论文

第1章 绪 论

1.1立题的意义

随着生活水平的不断提高,人们外出打工、探亲、旅游的人数越来越多,尤其是节假日,而与此相关的公路客运(长途客车,旅游客车等)的运力却没有相应增加,致使超员现象频频出现。目前,它已成为一个严重影响交通运输环境和社会经济可持续发展的社会问题。客车超载对国家的公路设施和客运市场造成了不良的影响,也影响车辆本身和车辆的驾驶、控制,容易引发事故,我们都知道车辆超载运输并且长期处于超负荷状态,就会导致车辆的制动装置和操作系统等安全性能迅速下降,表现为轮胎变形爆胎,刹车失灵,转向器轻飘抖动,钢板弹簧折断,半轴断裂等等。而客车一旦发生事故,给国家和百姓带来重大的经济损失和感情伤害。

目前,国家虽然出台了一系列的政策法规来制止客车超载,各地政府也制定了相关的制度来对客车超载进行管理,在一定程度上减少了客车超载现象,但在节假日等客流高峰期,超载现象还是屡禁不止。在采取行政监督管理的同时,通过科学技术的手段来消除客车超载的现象也有着极其重要的意义和实用性。

单片机作为微型计算机的一个很重要的分支,是将CPU、存储器、总线、I/O接线口电路集成在一片超大规模集成电路芯片上。自问世以来,以其极高的性价比,受到人们的重视和关注,应用很广,发展也很快。因此它广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个范畴:

(1)在智能仪器仪表上的应用

单片机具有体积小、功耗低、控制功能强、扩展灵活、微型化和使用方便等优点,广泛应用于仪器仪表中,结合不同类型的传感器,可实现诸如电压、功率、频率、湿度、温度、流量、速度、厚度、角度、长度、硬度、元素、压力等物理量的测量。

(2)在工业控制中的应用

单片机可以构成形式多样的控制系统、数据采集系统。例如工厂流水线的智能化管理,电梯智能化控制、各种报警系统,与计算机联网构成二级控制系统等。

⑶在家用电器中的应用

现在的家用电器基本上都采用了单片机控制,从电饭褒、洗衣机、电冰箱、空调机、彩电、其他音响视频器材、再到电子秤量设备,五花八门,无所不在。

⑷在计算机网络和通信领域中的应用

现代的单片机普遍具备通信接口,可以很方便地与计算机进行数据通信,为在计算机网络和通信设备间的应用提供了极好的物质条件,现在的通信设备基本上都实现

1

长春工业大学学士学位论文

了单片机智能控制,从手机、电话机、小型程控交换机、楼宇自动通信呼叫系统、列车无线通信、再到日常工作中随处可见的移动电话、集群移动通信、无线电对讲机等。

⑸单片机在医用设备领域中的应用

单片机在医用设备中的用途亦相当广泛,例如医用呼吸机,各种分析仪,监护仪,超声诊断设备及病床呼叫系统等。

因此单片机的出现应用于监测系统后,智能化的监测系统的模型也就基本具备了。单片机以其兼容性强,软件、硬件应用设计资源资料丰富,以及极高的性能价格比受到人们的重视和关注。单片机以及外围芯片的不断发展促进了超载、超量监测系统的发展。以单片机为核心的智能监控系统以其体积小,抗干扰能力强,对环境的要求不高,价格低廉,可靠性高,开发较为容易,并可获得较高的经济效益等特点,很快占有了监控器领域的市场,所以其应用很广,发展很快。

因此本课题正是基于此点,采用单片机为核心的智能监控系统可以对客车内乘客人数的统计,并通过LED显示器实时显示。当客车出现超载时,系统进行声光报警。同时通过继电器关闭客车的启动装置来阻止超载客车的运行。反之,当超载解除后,声光报警被解除,系统也相应解除对客车的锁定,客车可正常运行,从而达到了智能监控客车超载的要求,大大减少因超载而发生交通事故,使乘客的生命财产安全得到有效的保障。

1.2课题研究任务及目标

本课题主要任务是以MCS-51单片机为控制核心,能够自动检测车内乘客的人数并用LED即时显示,通过键盘设置超载人数的上限值,一旦客车超载时能进行声光报警,同时锁定客车的启动装置。它的硬件电路部分主要包括检测电路(红外传感器和方向识别电路)、键盘电路、显示电路、控制电路和报警电路五大部分。其中,主要研究内容为:红外检测技术、运动方向识别电路、LED数码管的显示、单片机控制系统等。

该设计技术指标如下:

⑴能够完成车厢内人数的显示,并用LED即时显示 ⑵超载人数的上限值可以通过键盘设置(默认人数为30) ⑶客车一旦超载时将发出声光报警信号,并关闭汽车的启动装置。

⑷光报警信号要实现1S闪烁功能,声音报警电路要产生1kHz音频信号驱动蜂鸣器完成。 1.3课题主要内容

本文主要介绍了客车超载监控系统的软硬件设计思想、各功能的实现方法、相

2

长春工业大学学士学位论文

应的电路原理、以及各元器件性能型号的选择,内容主要分为两部分:

第一部分是硬件的设计,包括硬件电路方案的设计、元器件的选择等,具体的硬件电路包括检测电路(传感器和方向识别电路),AT89C51单片机的最小系统电路、键盘输入电路、LED显示电路、声光报警电路、锁定电路等。以硬件来完成具体的功能使整个系统完整,并达到最终可以准确的检测客车超载检测的最终目的。

第二部分是软件的设计,软件设计采用模块化的思想,逐步完善设计功能,初步绘制出电路图和程序的流程图。以软件配合硬件,使其可以利用软件来使硬件相互关联、相互辅助,使整个设计完整。 3

长春工业大学学士学位论文

第2章 客车超载检测系统的方案论证

2.1系统的原理

本课题所设计的客车超载监控系统主要是避免因人数超载而引发的交通事故,所以本系统应该具备对乘客上、下车动作的智能检测,通过单片机来处理传感器获取的信息,可以自动统计客车上、下乘客的具体人数,能用LED来进行即时的数字显示。当客车内的实际人数超过设置的预定数值的上限值时,检测系统将自动进行声光报警,同时客车的启动装置也将会被锁定,这样可以有效地阻止超载情况的发生,从而降低因超载而引发的交通事故。

本系统中智能检测的方法显得尤为重要。其中,智能检测包括两部分:第一是检测模块部分。通过E3F-DS30C4反射式红外传感器和双D触发器对乘客的上、下车动作进行检测和判断。第二是数据统计部分。由单片机对接收的脉冲信号进行相应的加减计数运算,即完成统计乘客人数的功能。只有对车内人数准确的监视,才能判断出是否超载,来避免交通事故的发生,从而达到防患于未然的现实意义。 2.2系统的组成

系统结构框图如图2.1所示。主要包括:检测电路(红外传感器和方向识别电路)、键盘电路、单片机系统、LED显示电路、继电器控制电路、报警电路六部分。

红外传感器⑴ 双D数码管 显示电路 红外传感器⑵ 触发器组成的互锁电路 键 盘 输 入 单片图2.1 系统的总体设计框图

4

继电器 控制电路 机 声光 报警电路

长春工业大学学士学位论文

系统各部分的基本功能简介如下: ⑴ 反射式红外传感器

该传感器是一种集发射器和接收器于一体的传感器,当探测器前方有障碍物时,物体将光电开关发射器发射的足够量的光线反射到接收器,于是光电开关就产生了开关信号。当探测器前方没有障碍物时,探测器输出端输出高电平,当检测到障碍物时,探测器输出端输出低电平。因此,当有乘客经过车门完成一个上车或者下车的动作时就会产生脉冲信号,这样就完成了对乘客上、下车动作的检测,实现了将动作信号转换成电信号的功能。

⑵ 方向识别电路

方向识别电路是由双D触发器组成的双稳态电路,它可以通过自身的逻辑电路,来实现辨向的功能。方向识别电路与单片机的T0 、T1口相连,当乘客上车时会产生一个相应的负脉冲信号,把其接入T0端作为乘客上车动作信号的输入端,从而实现辨别乘客运动方向的功能。同理,当乘客下车时也会产生一个相应的负脉冲信号,把其接入T1端,即T1端作为乘客下车动作信号的输入端。

⑶ 单片机系统

单片机是本系统的核心元件,它实现了对传感器所采集的信号的判断和处理,控制硬件部分的键盘电路、显示电路、报警电路从而完成客车超载的智能监控。

⑷ 键盘

键盘采用4个独立式的按键来手动设置客车乘客人数的上限值,系统内的复位。 ⑸ LED 显示电路

该电路用两位共阴极 LED 数码管来即时显示,其系统开始时显示预置上限人数,当系统进入监控阶段则显示客车内乘客的即时人数。

⑹ 继电器

在该系统中用继电器来模拟客车的启动装置。若继电器断开,则代表客车已被锁定无法开启;若继电器闭合则代表客车恢复正常的启动,可以运行。

⑺ 报警电路

报警电路由蜂鸣器和发光二极管组成,当接收到单片机的命令时进行相应的声光报警和解除报警。

5

长春工业大学学士学位论文

第3章 客车超载监控系统的硬件设计

系统的硬件设计是该系统设计成功与否的基础。按照系统设计的总体方案,本设计采用模块化的设计思想。硬件设计的主要组成部分:检测电路、单片机最小系统电路、LED显示电路、继电器控制电路、声光报警电路、键盘输入电路。 3.1客车超载监控系统的检测电路

在客车超载监控系统的检测电路中主要有:传感器的选择、反射式红外传感器检测原理、方向识别电路的设计。 3.1.1传感器的选择

在本系统中传感器的主要作用是完成上、下车乘客动作信号的检测,因而在对传感器选择时应尽量考虑实际需求。其中包括适当的监测范围、灵敏度、调理电路简单、高性价比等。

常用的传感器有反射式红外传感器、超声波式传感器、激光雷达和MMW雷达等。几种传感器的优缺点进行比较如表所示。

表 3.1 传感器性能比较 传感器类型 超声波 红外线 视觉 激光雷达 MMW雷达 优 点 价格合理,夜间不受影响 能直接测量,价格便宜 易于多目标测量和分类,分辨率好 价格相合理,夜间不受影响 不受灯光、天气影响 缺 点 测量范围小,对天气变化敏感 探测距离较近 算法复杂,处理速度慢 对水、灰尘、灯光敏感 价格贵

其中超声波传感器的基本原理是超声波的直线传输特性。传感器有一个超声波发射端,上电后发射超声波,另有一个超声波接收端,如果前方有障碍物,超声波反射回来被接收端接收,并在输出端输出一个响应的电平信号。该方法被广泛应用于移动机器人的研究上。其优点是价格合理,易于使用,且在10m以内能给出精确的测量。但是超声波传感器的工作原理基于声,即使可以使之测达100m远,但可能在传输中受到其它信号的干扰,所以不能用于本系统。视觉传感器在CW系统中使用得非常广泛。其优点是尺寸小,价格合理,在一定的宽度和视觉域内可以测量定多个目

6

长春工业大学学士学位论文

标,并且可以利用测量的图像根据外形和大小对目标进行分类。但是算法复杂,处理速度慢。雷达传感器在军事和航空领域已经使用了几十年。主要优点是可以鲁棒地探测到障碍而不受天气或灯光条件限制。近十年来随着尺寸及价格的降低,在汽车行业开始被使用,但是仍存在性价比的问题。

本设计采用的是一种集发射与接收于一体的光电传感器。检测距离可以根据要求进行调节。该传感器具有探测距离远、受可见光干扰小、价格便宜、易于装配、使用方便等特点,可以广泛应用于机器人避障、流水线计件等众多场合。

该漫反射型光电开关检可以检测前方3-80cm (可以调节);工作电压为5V直流电压。工作电流小于10mA;输出驱动电流为100mA; 使用温度范围为-40~70C;这是一种应用最为广泛的光电开关,它的直径为17mm,固定时只要在设备外壳上打一个17mm的园孔就能轻松固定,长度约45mm,引线长度为45mm。 3.1.2反射式红外传感器检测原理

漫反射式光电开关是一种集发射器和接收器于一体的传感器,当有被检测物体经过时,物体将光电开关发射器发射的足够量的光线反射到接收器,于是光电开关就产生了开关信号。当被检测物体的表面光亮或其反光率极高时,漫反射式的光电开关是首选的检测模式。漫反射式光电开关工作示意图如图所示。

图3.1 漫反射式光电开关工作示意图

发射 E3F-DS30C4 返回 漫反射光电开关 被检测物体 测量电路也是传感器组成的一部分。由于传感器由敏感元件和转化元件组成,但转化元件输出的电量常常难以直接进行显示、记录、处理和控制。这时就需要将其进一步变化成可直接利用的电信号,而传感器中完成这一功能的部分称为测量电路。随着集成电路技术的发展,传感器的测量电路也逐渐开始集成芯片化。一般需要辅助电源供电。测量电路的选择视转化元件的类型而定,常采用的有电桥电路、

7

长春工业大学学士学位论文

脉宽调制电路、振荡电路、高输入阻抗电路。

红外传感器采用E3F-DS30C4型光电开关,该传感器为漫反射式光电开关,漫反射式光电开关发出的光线需要经检测物表面才能反射回漫反射开关的接受器,所以检测距离和被检测物体的表面反射率将决定接受器接收到光线的强度。粗糙的表面反射回的光线强度必将小于光滑表面反射回的强度,而且被检测物体的表面必须垂直于光电开关的发射光线。当无检测物体时,常开型的光电开关所接通的负载由于光电开关内部的输出晶体管的截止而不工作,当检测到物体时晶体管导通,负载得电工作。该传感器探测距离为3-80cm,根据实际需要把车门两个传感器的探测距离调整为40cm。

3.1.3方向识别电路的设计

在该系统的设计中,传感器单元的功能是检测乘客经过车门的动作信号,但是对乘客的具体上、下车的动作不能作出判断,因而采用方向识别电路对传感器的输出信号进行区分、判断就成为了一个必要的环节。在本课题的设计中,反射式红外传感器安装在客车车门附近,其具体安置方法如图所示。

图 3.2 检测传感器安放图

上车 方向 车厢外 传感器1 下车 方向 车厢内 传感器2 车 门 本系统对方向识别电路的设计采用了双D触发器,实现了对乘客方向的识别。方向识别电路如图所示。

8

长春工业大学学士学位论文

74F06 R1 /Q1 CLK1 +5V 74F06 Q1 D1 S1 INT1 传感器1 INT0 D2 S2 Q2 CLK2 74F06 传感器2 /Q2 R2 74F06 图3.3辨别方向电路图

9

长春工业大学学士学位论文

从定时/计数器的结构图中我们可以看出,16位的定时/计数器分别由两个8位专用寄存器组成,即:T0由TH0和TL0构成;T1由TH1和TL1构成。其访问地址依次为8AH-8DH。每个寄存器均可单独访问。这些寄存器是用于存放定时或计数初值的。此外,其内部还有一个8位的定时器方式寄存器TMOD和一个8位的定时控制寄存器TCON。这些寄存器之间是通过内部总线和控制逻辑电路连接起来的。TMOD主要是用于选定定时器的工作方式;TCON主要是用于控制定时器的启动停止,此外TCON还可以保存T0、T1的溢出和中断标志。

当定时/计数器用作计数器时,计数脉冲来自相应的外部输入引脚T0或T1。当输入信号产生由1到0的负跳变时,计数器的值加1。每个机器周期的S5P2期间,对外部输入引脚进行采样。如在第一个机器周期中采得的值为1,而在下一个周期中采得的值为0,则在紧跟着的再下一个周期S3P1的期间,计数器加1。由于确认一次负跳变要花两个机器周期,即24个振荡周期,因此外部输入的计数脉冲的最高频率为系统振荡器频率的1/24。本课题选用11.0592MHz频率的晶体,允许输入的脉冲频率为500MHz。由于本课题所利用的是计数器T0、T1,并且是工作在工作方式2。所以以下重点介绍工作方式2的特点。

工作方式2是自动恢复初值的8位计数器,可以将计数器的计数初值设为0FFFFH,当计数器加1产生溢出中断后执行中断子程序,TLX作为常数缓冲器,当TLX计数溢出时,在置“ 1”溢出标志TFX的同时,还自动地将THX中的初值送至TLX,以便TLX从初值重新开始计数。这种工作方式可以省去用户软件中重装初值的程序,所以,工作方式2是一种不需重装初值的计数器,这就给软件系统中T0、T1中断子程序中反复利用计数溢出产生中断带来了方便。 3.2.2单片机外围电路的设计

由单片机硬件设计原理可知:第一,尽可能采用功能强的芯片,以简化电路;第二,留有余地。在设计硬件电路时,要考虑到将来修改、扩展的方便。

⑴ 晶振电路

89C51单片机的时钟可以两种方式产生,一种是内部方式,利用芯片内部的振荡电路;另一种方式为外部方式。本系统采用内部时钟电路。

单片机内部有一个用于构成振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是此放大器的输入端和输出端。这个放大器与作为反馈元件的片外晶体或陶瓷谐振器一起构成一个自激振荡器。图3.8是89C51片内振荡器电路。89C51虽然有内部振荡电路,但要形成时钟,必须外接元件,图3.9是内部时钟方式的电路。外接晶体(在频率稳定性不高,而尽可能要求廉价时,可选用陶瓷谐振器)以及电容CX1和CX2构成并联谐振电路,接在放大器的反馈回路中。对外接电容的值虽然没有严格的

15

长春工业大学学士学位论文

要求,但电容的大小会影响振荡频率的高低,外接陶瓷谐振器时,CX1和CX2的典型值约为47pF。晶体可在1.2MHz~12MHz之间任选,电容CX1和CX2的典型值在20pF~100pF之间选择,在本课题中CX1和CX2选择值为30pF。晶体的振荡频率越高,则系统的时钟频率也就越高,单片机的运行速度也就越快。为了提高温度稳定性,应采用温度稳定性能好的NPO高频电容。在本课题中晶振采用11.0592MHz。

图3.8 89C51片内振荡器电路图 图3.9 内部时钟方式电路图

⑵复位电路

89C51的复位输入引脚RST(即RESET)为89C51提供了初始化的手段。有了它可以使程序从指定处开始执行,即从程序存储器中的0000H地址单元开始执行程序。在89C51的时钟电路工作后,只要在RST引脚上出现两个机器周期以上的高电平时,单片机内部则初始复位。只要RST保持高电平,则89C51循环复位。只有当RST由高电平变成低电平以后,89C51才从0000H地址开始执行程序。复位电路如图所示。

16

长春工业大学学士学位论文

C

VCC

RST

89C51

R1

R2

GND

图3.10 单片机复位电路图

当89C51的RST引脚为高电平时,单片机复位。本系统采用的复位电路如图3.10所示,其复位可分为两种方式,即上电复位和手动按键复位。

在接通电源时,系统的复位为上电复位方式,复位电路是电容C和电阻R2组成的微分电路,此时电容C相当于被瞬间短路,RST端输入为高电平,持续时间取决于电容的充电时间,而微分电路的时间常数τ=RC,选择R2=1K?,C=22μF可以满

2足RST引脚持续出现两个机器周期的正脉冲的需要。

当按键按下时,复位电路工作在按键复位方式,RST端电压VRST为:

R2VRST?VCC

R1?R2

(3.1)

由于手动按键复位的按键时间,其电平一般都能使脉冲宽度维持10ms以上,所以对单片机的RST端能持续提供高电平以确保单片机可靠的复位。本课题中为单片机提供的复位高电平约为+4.5V,经设计R1=200?,R2=1K?,C=22μF。单片机复位后,P0到P3并行I/O口全为高电平,其它寄存器全部清零,只有SBUF寄存器状态不确定。 3.3显示电路的设计

显示电路主要由7407 集电极开路六正相高压驱动器和两位共阴极LED构成,其中7407主要增加驱动LED的能力,显示由两个共阴极数码管来完成。本设计以P0作为码段输出口,P2口的前两位作为位选口,以动态方式实时显示数据。

17

长春工业大学学士学位论文

3.3.1 7407集电极开路六正相高压驱动器

六高压输出反相缓冲器/驱动器(OC,30V) 简要说明:

54/7406为集电极开路输出的六组反相驱动器,其主要电特性的典型值如下

表3.4 7406电特性

低电平到高延时 6ns

1A—6A 输入端 1Y—6Y 输出端

高到底延时 20ns Pd 125mW 3.11 双列直插封装

极限值:

电源电压:7V; 输入电压:5.5V; 输出截止态电压:30V; 工作环境温度:⑴5407??-55~125℃;⑵7407??0~70℃;⑶存储温度??-65~150℃ 功能表: Y=A

表3.5 7406功能表 Input Output A L Y L 18

长春工业大学学士学位论文

H H 工作原理:

集成运放有同相输入端和反相输入端,同向和反向是指运放输入电压和输出电压的相位关系。由于电路要求,应采用集成运放的反相输入端,输入电压Ui通过R作用到集成运放的反相输入端,所以会得到Uo与Ui反相,同相输入端通过R’接地,R’为补偿电阻,可以来保证集成运放输入级差分放大电路的对称性。电路通过电阻Rf引入负反馈。因为数模转换器输出的是负电压,所以设置反相电路可以将其转换为正电压。在电路中7406作为驱动芯片如图3.12所示。

图3.12 7406驱动芯片

3.3.1LED显示器

LED即发光二极管,它是由某些特殊的半导体材料制作成的PN结,由于参杂浓度很高,当正向偏置时,会产生大量的电子—空穴,把多余的能释放变为光能。LED显示器具有工作电压低、体积小、寿命长(约十万小时)、响应速度快,颜色丰富等特点,是智能仪器最常用的显示器。LED的正向工作压降一般在1.2V-2.6V,发光工

19

长春工业大学学士学位论文

作电流在5mA -20mA,发光强度基本上与正向电流成正比,故电路须串联适当的限流电阻。

LED显示器的发光管分别为a、b、c、d、e、f、g、dp,通过八个发光段的不同组合,可以显示0—9和A—F等16个字母数字,从而可以实现十六进制整数和小数的显示。控制不同组合的二极管导通,就能显示出各种字符。LED显示器有共阳极和共阴极两种。LED显示器有单个、七段、八段和点阵式等几种类型,本次设计采用八段式共阴极LED,它的结构图如图所示。

3.13显示部分

3.3.3显示电路

共阴极LED显示器的发光二极管的阴极连接在一起,通常叫做共阴极接地。当某个发光二极管的阳极为高电平时,发光二极管点亮,相应的段被显示。通常将控制发光二极管发光的8位字节数据编码称为LED显示的段选码,要构成多位LED显示时,除需要段选线外,还需要位选线,以确定段选码对应的显示位,位选线控制第几个LED显示,段选线则控制显示字符。 本课题所设计的显示部分电路图如图所示。

20

长春工业大学学士学位论文

图3.14 LED显示部分电路图

在多位LED显示时,为了简化电路,节省I/O口,降低成本,动态显示方案具备一定的实用性,也是目前单片机数码管显示较为常用的一种显示方法。本设计采用一个两位LED动态显示,在位选线和段选线的共同作用下,可以使各个显示器显示各自的字符,当然这些字符不是同时显示的,但由于人眼存在视觉暂留,加上发光二极管的余辉效应,由于扫描的速度足够快,每位显示的间隔时间足够短,就可以给人同时显示的感觉,而不会有闪烁感,犹如同时显示一样。通过软件编程,先把所要显示的数据放入存储单元,然后把数据送入段选通对应的地址,再选通某一个LED,逐步完成两个LED的显示。 3.4键盘电路的设计

键盘也是微型机算机系统中最常用的人机对话输入设备。在单片机应用系统中,为了控制系统的工作状态,以及向系统输入数据,应用系统应设有按键或键盘。

常用的键盘接口分为独立式按键接口和矩阵式键盘接口。矩阵式键盘适用按键比较多的场合,它由行线和列线组成,按键位于行、列的交叉点上,它的特点是比较节省I/O端口;独立式按键就是各按键相互独立,每个按键各接一根输入线,一根输入线上的按键工作状态不会影响其他输入线上的工作状态。因此,通过检测输入线上的电平状态可以很容易判断哪个键被按下了。键盘也有工作方式之分:分别为程序扫描方式、定时扫描方式和中断扫描方式。本设计键盘电路如所示:

21

长春工业大学学士学位论文

AT89C51 10K 10K S1 10K 5V P1.3 S2 人数加 P1.4 人数减 S3 确定人数 P1.5

图3.15 键盘电路原理图

在本系统程序设计时,从按键被识别按下之后,通过延时避开干扰信号,由于系统要求按键每按下一次,命令被执行一次,直到下一次再按下的时候,再执行一次命令,从而按键被识别出来之后,我们就可以执行这次的命令,所以要有一个等待按键释放的过程,而这个释放的过程,就是使其恢复为高电平的状态。对于按键识别的指令,本设计选择指令JB来检测按键是否为高电平,若为高电平,则按键抬起,否则继续执行程序。采用指令JNB来检测按键是否为低电平,若为低电平,则执行相应程序。

考虑到客车的实际要求,客车的实际装载乘客的人数默认值设置为30人。所以本设计采用比较简单的独立式按键接口电路,用两个按键设置上限值,一个为人数加设置,一个为人数减设置,按键线路如图所示。无键按下时,P1.3为高电平,有键按下时变为低电平,设置值加1,同理P1.4口作为减操作,P1.5为高电平,有键按下时变为低电平,确定设置人数。 3.5报警电路的设计

当客车内乘客人数超过预置数值时,超载监测系统将会发出声光报警。本课题采用蜂鸣器作为声报警的发生元件,采用红色发光二极管作为光报警的发生元件。其具体的电路图如图所示。

22

长春工业大学学士学位论文

P1.0 P1.1 GND A1 Y1 A2 Y2 A3 Y3 A4 Y4 A5 Y5 A6 Y6 GND VCC

图3.16 蜂鸣器报警电路

当超载现象发生时,由单片机向P1.0、P1.1口发出低电平指令,经六向反相器7406后,驱动蜂鸣器、发光二极管工作,调用延时子程序使蜂鸣器发出1KHZ的音频信号,发光二极管实现一秒闪烁功能。当P1.0、P1.1口为高电平时,蜂鸣器不工作发光二极管不发光,即声光报警取消。 3.6客车锁定电路的设计

当客车超载时,为了阻止客车超载行驶,常常采用切断客车发.动机的电子点火装置的方法。本课题中采用继电器元件,通过继电器的内部开关在常闭触点和常开触点之间的切换来实现这一功能。继电器电路图如图所示。

+5V P2.6 4.7K

图3.17 继电器电路图

23

长春工业大学学士学位论文

本系统所采用的继电器为五脚,工作电压为+5V直流电压,将单片机89C51的P2.6口接继电器的控制端,继电器常开触点的输出端接红色发光二极管。发光二极管是用来识别继电器是否动作的光指示信号,当继电器内部开关吸合至常闭触点时红色二极管不发光,表示客车的启动装置可以正常运行;当客车超载时由单片机控制继电器动作,使内部开关置于常开触点,此时红色发光二极管亮,红色发光二极管点亮表示客车已被锁定无法正常运行。 3.7供电电源电路的设计

电池放电时内阻稳定的增大,电压则稳定的减小, 而且接上大功率的负载时电压会瞬时降低, 不能用于提供固定的电压,对于各种IC芯片需要的稳定电压, 需要专门的稳压器件,或者稳压电路, 基本的稳压器有两种:线性(LDO)和开关(DCDC), 其中前者只能降压使用,而前者还可以升压使用而且效率很高。

控制芯片89C51的标准供电电压是5V,可以选择使用线性电压调整芯片稳压,如:

7805:最大输出电流1.5A,内部过热保护,内部短路电流限制,典型输入电压7~20V, 输出电压4.9~5.1V,静态电流典型值4.2mA,压差(输出与输入的差)至少2V。

78L05(电流较小):最大输出电流100mA,内部过热保护,典型输入电压7~20V, 输出电压4.75~5.25V,静态电流典型值3mA。

LM317(电压可调):输出电流可达1.5A,输出电压1.2V~37V,内部过热保护等。

选用7805,一方面简单;另一方面比较常用且比较便宜。

LM78系列是美国国家半导体公司的固定输出三端正稳压器集成电路。我国和世界各大集成电路生产商均有同类产品可供选用,是使用极为广泛的一类串联集成稳压器。内置过热保护电路,无需外部器件,输出晶体管安全范围保护,内置短路电流限制电路。对于滤波电容的选择,需要注意整流管的压降。

稳压电源由电源变压器、整流电路、滤波电路和稳压电路组成, (1)整流和滤波电路:整流作用是将交流电压变换成脉动电压。滤波电路一般由电容组成,其作用是脉动电压中的大部分纹波加以滤除,以得到较平滑的直流电压。

(2)稳压电路:由于得到的输出电压受负载、输入电压和温度的影响不稳定,为了得到更为稳定电压添加了稳压电路,从而得到稳定的电压。

24

长春工业大学学士学位论文

C1

C2

C3

图3.18稳压电源电路0

三端集成稳压器LM7805正常工作时,输入、输出电压差2~3V。C1为输入稳定电容,其作用是减小纹波、消振、抑制高频和脉冲干扰,C1一般为0.1~0.47μf。C2为输出稳定电容,其作用是改善负载的瞬态响应,C2一般为1μF。使用三端稳压器时注意一定要加散热器,否则是不能工作到额定电流。二极管IN4007用来卸掉C2上的储存电能,防止反向击穿LM7805。查相关资料该芯片的最大承受电流为0.1A,因此输入端必须界限流电阻R1,R1=(12*0.9-5)/0.1=58Ω,取近似值,选用70Ω的电阻。

此电源的缺点

(1)此电源是线性稳压电路,所有有其特有的内部功率损耗大,全部压降均转换为热量损失了,效率低.所以散热问题要特别注意。

(2)由于核心的元件7805的工作速度不太高,所以对于输入电压或者负载电流的急剧变化的响应慢。 此源的优点

(1)电路简单,稳定,调试方便(几乎不用调试)。 (2)价格便宜,适合于对成本要求苛刻的产品。

(3)电路中几乎没有产生高频或者低频辐射信号的元件,工作频率低,易于控制。

25

长春工业大学学士学位论文

第4章 客车超载监控系统的软件设计

研制一台智能仪器是一个复杂的过程,这一过程包括分析仪表的功能要求和拟定总体设计方案,确定硬件结构和软件算法,研制逻辑电路和编制程序,以及仪表的调试和性能的测试等等。软件的设计应遵循模块化设计原则,在总体概况设计的基础上进行具体的子程序设计,功能分解,模块划分,细化软件层次,优化软件结构,以达到模块功能的独立性,执行的高效性。总之,设计的程序应该达到可读性,可理解性,可维护性,有效性。 4.1客车超载监控系统的软件设计方案

在单片机系统程序的设计开发中,单片机是整个系统的核心部分,各个部分模块化的程序就是整个系统的组成成份。软件编写的好坏,语句运用的是否简洁直接关系单片机的工作效率。在各个模块化的程序中尽量用最少的语句做最多的事情,不让语句出现歧义,这样就可以使整个程序可以在系统中更好的运行,使单片机工作效率大大的提高。下面就对本次毕业设计的软件部分作些介绍,如图4.1所示为软件总体流程图。首先是对系统的初始化,包括通过键盘手动设置乘客上限值,各个寄存器、计数器的工作方式等。子程序包括:T0、T1计数中断程序的设计、按键处理子程序、报警子程序,显示子程序。下面就其主要部分进行分析。

⑴ T0、T1计数中断程序是将传感器产生的脉冲信号接入计数器的T0、T1口,首先把计数的初始值设为0FFFFH,然后计数器开始计数,当T0、T1口有高低电平变化时,计数器就产生溢出中断。

⑵ 按键处理子程序用来实现手动设置上限值。

⑶ 显示子程序是将数据处理的结果送显示器实时显示。

⑷ 报警子程序是将统计脉冲信号的数目与所设预置数进行比较,若大于预置数则进行报警,若小于或等于预置数则解除报警。本系统软件总体流程图如图4.1所示。

26

长春工业大学学士学位论文

开 始 初始化 键盘设置超载人数 N T0产生溢出中断? N Y T1产生溢出中断? 计数器人数加1 Y 计数器人数减1 解除报警 调用显示子程序 解除继电器锁定 是否大于超载人数? Y 声光报警 N 启动继电器锁定装置 图4.1 系统软件总流程图

程序的初始化是对AT89C51的初始化,包括对中断计数器工作方式和计数初值的初始化,对堆栈的初始化,以及对暂存地址的分配等。在初始化结束以后,程序进入循环等待中断的环节。当发生中断时,单片机转入中断子程序进行相应的数据处理,

27

长春工业大学学士学位论文

同时还对显示子程序和报警子程序进行了调用,完成实时显示和实时监控的功能。当统计数值大于预置数值时,程序执行报警和锁定指令;当统计数值小于或等于预置数值,程序解除报警、锁定指令。 4.2 T0、T1中断计数程序的设计

T0、T1中断程序的流程图如图4.2所示。

中断返回

图4.2 中断程序流程图

开 始 EA=0 累加器A、寄存器内容入栈 开中断 中断处理 R1+1或R1-1 现场恢复 本设计采用T0、T1计数器对外部脉冲进行计数。T1、T0均设为计数器工作模式,工作方式为方式2,即8位的常数自动重新装载的计数器。其计数初值均设为0FFFFH,当单片机外部输入一个脉冲信号时,计数器计数溢出产生溢出中断,计数器T0、T1的溢出中断标志位TF0、TF1作为外部中断请求的标志位被置1,CPU经查询后便暂时

28

长春工业大学学士学位论文

终止当前的工作,转去执行中断服务程序。所不同的是:T0计数器用做统计上车的人数,所以T0每中断一次数据寄存器内容加1;T1计数器累计的是下车的人数,所以T1每中断一次数据寄存器内容减1。在每次溢出中断子程序中都调用了显示子程序和报警子程序,这样就实现了实时显示和实时监控。进入中断子程序后,硬件会自动把断点地址(16位程序计数器PC的值)压入堆栈,保护有关工作寄存器、累加器、标志位等信息,在完成中断服务程序后,恢复有关的工作寄存器、累加器、标志位内容,最后执行中断返回指令,从堆栈中自动弹出断点地址到PC,继续执行被中断的程序。

4.3按键子程序的设计

按键电路在本设计采用独立式接口电路,由于所用按键不多,不会占用大量I/O口线,所以采用设计和结构简单的独立式按键。考虑到客车的实际要求,客车的实际装载乘客的人数应小于100,所以只用键盘设置上限值。为了确保CPU对一次按键动作只确认一次按键,必须消除抖动的影响,本设计采用软件延时的方法来消除按键的抖动。按键子程序流程图见图4.3所示。

开 始

N 有键闭合吗? Y 延时10ms 有键闭合吗? N Y 按键ADD_SET=0? Y 超载人数加1 N 按键DEC_SET=0? N 超载人数减1 Y 返 回

29

长春工业大学学士学位论文

参考文献

1何立民,单片机应用系统设计,北京航天航空大学出版社 2张德江、胡贞,微型计算机控制系统,吉林科学技术出版社 3王承发、刘岩,微机接口技术,高等教育出版社

4沈红卫,单片机应用系统设计实例与分析,北京航天航空大学出版社 5马明建,数据采集与处理技术,西安交通大学出版社

6V. Yu. Teplov,A. V. Anisimov.Thermostatting System Using a Single-Chip Microcomputer and Thermoelectric Modules Based on the Peltier Effect[J] ,2002 7冯建华,赵亮,单片机应用系统设计与产品开发,人民邮电出版社 8边海龙,单片机开发与典型工程项目实例详解,电子工业出版社 9赵学泉、张国华,电源电路,电子工业出版社

10马忠梅等,单片机的语言应用程序设计,北京航空航天大学出版社

11Yeager Brent.How to troubleshoot your electronic scale[J].. Powder and Bulk Engineering. 1995

12纪宗南,单片机外围器件实用手册—输入通道器件分册,北京航空航天大学出版社 13宋雨潭,DS12C887及其在智能化仪器仪表中的应用,黑龙江税专学报 14祝科军,传感器与检测技术,电子工业出版社

15Rhee W.Design of high-performance CMOS charge pumps inphase-locked loops. IEEE International Symposium on Cir-cuits and Systems. 1999 16邹逢兴,计算机硬件技术及应用基础,国防科技大学 17贾伯年等,传感器技术,东南大学出版社

18Meehan Joanne,Muir Lindsey.SCM in Merseyside SMEs:Benefits and barriers[J].. TQM Journal. 2008

19马家辰,MCS-51单片机原理及接口技术,哈尔滨工业大学 20李华主,MCS-51系列单片机实用接口技术,北京航天航空大学

21G.Edward Suh, Charles W.O’Donnell, Srinivas Devadas, Aegis:a single-chip secure processor, IEEE Design and Test of Computers.2008,24(6)570-580. 22赵茂泰,智能仪器原理及应用,电子工业出版社 23徐淑华,单片机微型机原理及应用,哈尔滨工业大学 24孙育才,微型计算机应用系统设计与实现,东南大学

25Behzad Razavi.Design of Analog CMOS Integrated Circuits[M]. . 2001 26张洪润,传感器技术与应用教程,清华大学出版社 27何希才,传感器及其应用实例,机械工业出版社

35

长春工业大学学士学位论文

28Nonis R,Da Dalt N,Palestri P,et al.Modeling,design and characterization of a new low-jitter analog dual tuning LC-VCOPLL architecture[J]. IEEE Journal of Solid State Circuits. 2005

29张洪润,传感器技术与应用教程,清华大学出版社

30Mt. Prospect.MCS 51 Family of Micro-controllers Architectural Overview. September 1993

31张亚凡,传感器及其应用实例,机械工业出版社 32陈永甫,红外探测与控制电路,人民邮电出版社

33Boerstler D W.A low-jitter PLL clock generator for microprocessors with lock range of 340 ~ 612MHz. IEEE Journal of Solid State Circuits. 1999 34王仲生,智能监测与控制技术,西北工业大学出版社 35吴基安,汽车电子装置图解检修手册,人民邮电出版社 36李广弟,单片机基础,北京航空航天大学出版社

37George Lee,Karina Ng,Edmond Kwang.Design of ring oscillator based voltage controlled oscillator. Project Final Report[R]. 2005

36

长春工业大学学士学位论文

附录I 客车超载监控系统硬件系统原理图

37

长春工业大学学士学位论文

附录Ⅱ 客车超载监控系统程序清单

ADD_SET BIT P3.7 DEC_SET BIT P3.6

JIANCE

EQU

30H

SHEZHI EQU 80H ORG 0000H LJMP MAIN ORG 000BH LJMP IT0P ORG 001BH LJMP IT1P ORG 0050H

MAIN: MOV SP,#60H ;MOV TMOD,#66H ;MOV TL0,#0FFH MOV TH0,#0FFH MOV TL1,#0FFH MOV TH1,#0FFH

SETB TR1 ;SETB ET1 SETB TR0 SETB ET0 SETB EA MOV DPTR,#TABLE

MOV SHEZHI,#0 ;MOV JIANCE,#0

;设置加位

;设置减位

设置堆栈区

设置方式字和初值中断设置 初始化 38

长春工业大学学士学位论文

MOV P0,#3FH MOV P2,#03H

START: LCALL A1

LCALL ARM LJMP START

HERE: AJMP HERE IT0P: PUSH PSW

PUSH ACC INC JIANCE POP ACC POP PSW RETI

IT1P: PUSH PSW

PUSH ACC

DEC JIANCE POP ACC POP PSW RETI

;**************************************************** ;判断是否有控制键按下,是哪一个键按下 A1:

LCALL DISPLAY JNB ADD_SET,S1 JNB DEC_SET,S2 LJMP

A1

S1: LCALL DELAY

JB ADD_SET,A1

K1: INC

SHEZHI

39

本文来源:https://www.bwwdw.com/article/kdtf.html

Top