调用第三方工具modelsim se
更新时间:2023-10-15 01:06:01 阅读量: 综合文库 文档下载
- 调用第三方接口推荐度:
- 相关推荐
这两天学习下第三方仿真工具Modelsim se,遇到了很多问题,但最终都一一解决了,于是总结下两种方法,希望给遇到类似困难的朋友以下启示。 第一种在modelsim 中建立testbench 1,打开modelsim se 新建工程
首先改变路径到你新建的文件夹中,new?chang directory 该文件夹命名为modelsim_test出现对话框设置路径如下图
2新建库文件new?library,库文件名为work_test,如下图
3,在库文件下新建工程new?project,工程名为count,库文件名默认为work,改为work_test,然后OK,然后会出现一个对话框,选择creak new file
1
然后在后面对话框中file 名为count ,形式为verilog(默认为VHDL),然后编辑文本,这里简单编写一段,仅讲述方法, module div_clk(clk,rst_n,div_clk); input clk; input rst_n;
output[3:0]div_clk;
reg[3:0]div_clk;
always@(posedge clk or negedge rst_n) if(!rst_n) div_clk<=4'd0; else div_clk<=div_clk+1'b1; endmodule
按照相同的方法写一段testbench如下
`timescale 1ns/1ns module div_clk_tb; reg clk;
2
reg rst_n;
wire[3:0]div_clk;
div_clk u1( .clk(clk), .rst_n(rst_n), .div_clk(div_clk) );
initial begin clk=0; forever
#10 clk=~clk; end
initial begin rst_n=0; #1000; rst_n=1; #50000; $stop; end
endmodule 如下图
没有编译前是问号,然后编译,选中文件右击compile all.待编译无误后问候变为
然后可以在library中看到编译后的文件
3
右击div_clk_tb选择 simlation然后出现如下图所示的界面
右击div_clk_tb,添加波形,然后在上面工具栏中设置仿真时间100us,点击旁边的RUN按钮,就可以看到仿真后的波形如下图
第二种方法较为复杂一点,我摸索两天终于成功了。在已建立好的quartus II 软件中调用第三方仿真工具还是用上面简单的例子来说明吧
1通过quartus II软件中已编译好testbench例化名和testbench模块名count.v和count_tb.v存放在新建文件count中,要正常进行仿真还需将重要的库文件cyclone ii_atoms.v复制到“…count\\simulation\\modelsim”文件下
2打开第三方仿真工具,下面一步很重要,不然你会很纠结,先改变modelsim的路径new?chang directory,路径为“…count\\simulation\\modelsim”如下图
然后新建工程,至于为什么后面我会给出详细的说明(这步是很重要的),配置按下图所示设置
4
这里不用建文件,直接点击close
在library中找到刚才新建的库文件work_test,这时compile按钮是灰色的,然后重新制定路径compile按钮有效如图
然后点击编译选择所需要编译的文件共有三个,注意library文件名为work_test如图,编译完成后work_test会出现加号
5
正在阅读:
调用第三方工具modelsim se10-15
5.14设备管理检查考核评分标准12-13
雨中的“杏林酒家”作文300字07-03
健康生活每一天 教案05-02
教育理论 - 辨析与简答04-16
对照检查材料专题10篇01-22
DJGW系列钢筋位置测定仪说明书05-21
钢结构加工制作安装合同范本08-14
高二化学电解池练习题08-19
合理规避土地增值税02-27
- 冀教版版五年级科学下册复习资料
- 微生物学复习提纲
- 2013—2014学年小学第二学期教研组工作总结
- 国有土地转让委托服务合同协议范本模板
- 我的固废说明书
- 企业管理诊断报告格式
- 东鼎雅苑施工组织设计
- 谈谈如何做好基层党支部书记工作
- 浮梁县环保局市级文明单位创建工作汇报
- 管理学基础知识
- 大学物理实验报告23 - PN结温度传感器特性1
- 计算机网络实践
- 酒桌上这四种情况下要坐牢,千万别不当回事……
- 国家康居示范工程建设技术要点
- 中国贴布行业市场调查研究报告(目录) - 图文
- 新课标下如何在高中物理教学中培养学生的创新能力初探
- 营养师冬季养生食谱每日一练(7月4日)
- 关注江西2017年第3期药品质量公告
- 建设海绵城市专题习题汇总
- 10万吨年环保净水剂建设项目报告书(2).pdf - 图文
- 调用
- 第三方
- modelsim
- 工具
- se
- 辛亥革命对中国的影响
- 案例分析 - 图文
- 宁波-舟山港核心港区深水航路船舶报告制
- 11货代2梁文忠职业生涯规划书2 - 图文
- ICU护理常规
- 辽大文学理论真题
- 林下家禽养殖基地建设工程可行性研究报告
- 气管切开论文 副本课稿
- 2015中学后勤工作计划
- 2015-2016学年广东省肇庆市怀集县八年级(上)期末数学试卷
- 2015.1顺义区初一数学试题及答案
- 简析生态水利在现代河道治理中的应用
- 2019小升初数学模拟试题(10套含答案)-精品
- 2009高中化学高考热点临考前针对训练
- 2007-2009年度xx申报文明单位事迹材料
- MPS中速辊式磨煤机说明书
- 政治经济学单项、多项选择题
- 易经 六十四卦歌谣
- 六年级数学简便计算练习题
- 乡镇公务员面试真题