基于单片机多路发生器的设计

更新时间:2024-03-12 19:28:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

毕 业 设 计

题 目 基于单片机的多路发生器的设计 姓 名 李启哲 学 号 20126070 系 部 理工系 年级专业 2012级电子信息工程 指导教师 贺泽凡

2016年5月28日

中南林业科技大学涉外学院本科毕业设计诚信声明

本人郑重声明:所呈交的本科毕业设计,是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议,除设计中已经注明引用的内容外,本设计不含任何其他个人或集体已经发表或撰写过的作品成果。对本设计的研究做出重要贡献的个人和集体均已在文中以明确方式标明。本人完全意识到本声明的法律结果由本人承担。

本科毕业设计作者签名:

二○一 年 月 日

摘 要

本文所设计的系统是利用STC89C51单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,即采用STC89C51 单片机作为控制核心,而在外围采用数字/模拟转换电路(DAC0832)、运放电路(LM358)、按键和LCD液晶显示电路等,通过按键可控制产生方波、锯齿波、三角波、正弦波,同时用LCD液晶显示对应的波形输出。

文中简要介绍了DAC0832数模转换器的结构原理和使用方法,单片机STC89C51的基础理论,以及与电路设计有关的各种芯片,并着重介绍了利用单片机控制D/A转换器产生上述信号的硬件电路设计和软件编程。

本文所设计的系统设计简单、性能优良、性价比高,可用于多种需要低频信号源的场所,具有一定的实用性。

关键词 单片机 信号发生器 D/A转换 运算放大

Title Design of multi channel generator based on single chip microcomputer Abstract:

Keyword: The single chip computer The signal generator D/ A conversion Operational amplifier

目 录

1 绪 论 ................................................................ 1 2 系统设计 ............................................................. 3 2.1 系统方案的选择 ..................................................... 3 2.2 控制芯片的选择 ..................................................... 3 3 系统硬件设计 ......................................................... 4 3.1 基本原理 ........................................................... 4 3.2 单片机介绍及资源分配 ............................................... 4 3.2.1 单片机的介绍 ..................................................... 4 3.2.2 资源分配 ......................................................... 9 3.3 各部分电路原理 ..................................................... 9 3.3.1 键盘电路原理 ..................................................... 9 3.3.2 LCD显示电路原理 ................................................. 11 3.3.3 DAC0832芯片原理 ................................................. 13 3.3.4 LM358工作原理 ................................................... 16 4 系统软件设计 ........................................................ 18 4.1 主程序流程图 ...................................................... 18 4.2 子程序流程图 ...................................................... 19 5 测试结果 ............................................................ 24 6 结论 ................................................................ 26 致 谢 ................................................................ 27 参考文献 .............................................................. 28 附录A 元件清单 ........................................................ 29 附录B 电路原理图 ...................................................... 30 附录C 仿真图 .......................................................... 31 附录D 程序清单 ........................................................ 31

1 绪 论

波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。

波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换和频率稳定性高等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。

在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点。

在 70 年代后,微处理器的出现,可以利用处理器、A/D/和 D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对 DAC的程序控制,就可以得到各种简单的波形。[1]

当今是科学技术及仪器设备高度智能化飞速发展的信息社会,电子技术的进步,给人们带来了根本性的转变,在现代电子领域中,单片机的应用正在不断的走向深入,这必将带来一场仪器设备高度智能化的全面革命。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器,而用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高,特别是单片机应用技术的不断成熟,导致传统控制与检测技术的快速革新。单片机构成的仪器具有高可靠性、高性能价格比,在智能仪表系统和办公自动化等诸多领域中得以极为广泛的应用,特别是在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域处处可以看见它的应用。[2]

一块单片机芯片就是一台微型计算机,在某些应用领域中,它能够承担大中型计算机和通用微型计算机无法完成的一些工作。单片机之所以能够在各个领域中都得到如此迅猛的发展,主要是因为它具有很多显著的优点和特点,归纳起来有以下几方面:

1. 具有优异的性能价格比:单片机尽可能地把应用所需的存储器,各种功能的I/O 接口集成在一块芯片内,因而其性能很高,而价格却相对较低廉,即性能价格比很高。

2. 集成度高、体积小、可靠性高:单片机把各种功能部件集成在一块芯片上,因而集成度高,均为大规模或超大规模集成电路。又内部采用总线结构,减少了芯片之间的连线,这大大提高了单片机的可靠性与抗干扰能力。同时,其体积小,对于强磁场环境易于采取屏蔽措施,适合于在恶劣环境下工作。

3. 控制功能强:单片机体积虽小,但“五脏俱全”,它非常适用于专门的控制用途。为了满足工业控制要求,一般单片机的指令系统中有极丰富的转移指令,I/O口的逻辑操作指令以及位操作指令。其逻辑控制功能及运行速度均高于同一档次的微机。

4. 低电压、低功耗:单片机大量用于携带式产品和家用消费类产品,低电压和低功耗尤为重要。目前,许多单片机已可在2.2V电压下运行,有的已能在1.2V或0.9V下工作,功耗降至μA级,一粒钮扣电池就可长期使用。[3]

利用单片机采用程序设计方法来产生低频信号,其下限频率很低。具有线路相对简单,结构紧凑,价格低廉,频率稳定度高,抗干扰能力强,用途广泛等优点,并且能够对波形进行细微调整,改良波形,使其满足系统的要求。只要对电路稍加修改,调整程序,即可完成功能升级。

2 系统设计

2.1 系统方案的选择

方案一:采用分立元件实现非稳态的多谐振振荡器,然后根据需要加入积分电路等构成正弦、矩形、三角等波形发生器。这种信号发生器输出频率范围窄,而且电路参数设定较繁琐,其频率大小的测量往往需要通过硬件电路的切换来实现,操作不方便。

方案二:采用DDS即直接数字频率合成技术设计信号发生器是一种要运用数字技术来实现产生信号的方法。由于运用了全数字大规模集成技术,具有体积小、频率分辨率高、信号纯度高等特点,但是DDS芯片价格较为昂贵,且设计较为复杂。

方案三:采用单片机和DAC0832数模转换器生成波形,由于是软件滤波,所以不会有寄生的高次谐波分量,生成的波形比较纯净。它的特点是价格低、性能比高,在低频范围内稳定性好、操作方便、体积小、耗电少。

方案选择:方案三较方案一而言,具有频率高,工作稳定,容易调试等特性;较方案二而言,具有结构简单,成本低等特性。因此方案三既可满足毕业设计的基本要求,又能充分发挥其电路简单、易控制、性价比较高的优势,经比较采用方案三。

2.2 控制芯片的选择

方案一:STC89C51单片机是一种高性能8位单片微型计算机。它把构成计算机的中央处理器CPU、存储器、寄存器、I/O接口制作在一块集成电路芯片中,从而构成较为完整的微型计算机。

方案二:C8051F005单片机是完全集成的混合信号系统级芯片,具有与AT80S52兼容的微控制器的内核,与MCS-51指令集完全兼容。除了具有标准AT80S52的数字外设部件之外,片内还集成了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件。

方案选择:方案二中C8051F005芯片系统内部结构复杂,不易控制,芯片成本高,对于本系统而言利用率低,STC89C51芯片比较常用,简单易控制,成本低,性能稳定,故采用方案一。

3 系统硬件设计

3.1 基本原理

系统框图如图3-1所示

波形指示 按键 单片机 数模转换 电流、电压转换 输出 电源

图3-1 低频信号发生器系统框图

低频信号发生器系统主要由CPU、D/A转换电路、电流/电压转换电路、按键和波形指示电路、电源等电路组成。

其工作原理为当分别按下四个按键中的一个按键就会分别切换出方波、锯齿波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。

3.2 单片机介绍及资源分配

3.2.1 单片机的介绍

(1)STC89C51的引脚图 如图3-2所示:

U31234567891011121314151617181920P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7RSTP3.0(RXD)P3.1(TXD)P3.2(INT0)P3.3(INT1)P3.4(T0)P3.5(T1)P3.6(WR)P3.7(RD)XTAL2XTAL1GND89C51/C52VCC(AD0)P0.0(AD1)P0.1(AD2)P0.2(AD3)P0.3(AD4)P0.4(AD5)P0.5(AD6)P0.6(AD7)P0.7EA/VPPALE/PROGPSEN(A15)P2.7(A14)P2.6(A13)P2.5(A12)P2.4(A11)P2.3(A10)P2.2(A9)P2.1(A8)P2.04039383736353433323130292827262524232221 图3-2 STC89C51引脚图

(2)管脚说明 低频信号发生器采用STC89C51单片机作为控制核心,其内部组成包括:一个8位的微处理器CPU及片内振荡器和时钟产生电路,但石英晶体和微调电容需要外接;片内数据存储器RAM低128字节,存放读/写数据;高128字节被特殊功能寄存器占用;片内程序存储器4KB ROM;四个8位并行I/O(输入/输出)接口P3 -P0,每个口可以用作输入,也可以用作输出;两个定时/计数器,每个定时/计数器都可以设置成计数方式,用以对外部事件进行计数,也可以设置成定时方式,并可以根据计数或定时的结果实现计算机控制;五个中断源的中断控制系统;一个全双工UART(通用异步接收发送器)的串行I/O口。

VCC:供电电压。 GND:接地。

RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

/PROG/ALE:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。[4]

89C51 单片机外部有32个端口可供用户使用,其功能如下表所示:

表3-1 89C51并行I/O接口

端口 P0 P1 P2

引脚位置 39-32 1-8 21-28 10 11 12 13

P3

14 15 16 17

P3.4 P3.5 P3.6 P3.7 符号 P0.0-P0.7 P1.0-P1.7 P2.0-P2.7 P3.0 P3.1 P3.2 P3.3

通用I/0口

T0 T1 WR RD

计数器0输入端口 计数器1输入端口 外部存储器写功能 外部存储器读功能

第一功能

功能 通用I/0口 通用I/0口 通用I/0口

符号 AD0-AD7

A8-A15 RXD TXD INT0 INT1

第二功能

功能 地址数据总线

地址总线(高位) 串行通信发送口 串行通信接收口 外部中断0 外部中断1

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门

电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

P3口也可作为AT89C51的一些特殊功能口,如下所示: 口管脚 备选功能

P3.0 RXD(串行输入通道) P3.1 TXD(串行输出通道) P3.2 /INT0(外中断0) P3.3 /INT1(外中断1) P3.4 T0(定时器0外部输入) P3.5 T1(定时器1外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读选通)[5]

(3)STC89C51的晶振及其连接方法 CPU工作时都必须有一个时钟脉冲。有两种方式可以向89C51提供时钟脉冲:一是外部时钟方式,即使用外部电路向89C51提供时钟脉冲,见图3-3(a);二是内部时钟方式,即使用晶振由89C51内部电路产生时钟脉冲。一般常用第二种方法,其电路见图3-3(b)。

89C51 XTAL2 XTAL1 89C51 XTAL2 XTAL1 悬空

C1 J C2 外部时钟信号

(a)外部时钟方式

图3-3 89C51的时钟脉冲

(b)内部时钟方式

J一般为石英晶体,其频率由系统需要和器件决定,在频率稳定度要求不高时也可以使用陶瓷滤波器。一般来说,使用石英晶体时,C1=C2=30pF。使用陶瓷滤波器时,C1=C2=47pF。

(4)STC89C51的复位 复位是单片机的初始化操作,其主要的作用是把PC初始化为0000H,使单片机从0000H单元开始执行程序。除了进入系统的正常初始化之外,

当由于程序运行出错或操作失误使系统处于死锁状态时,为摆脱困境,也需要按复位键以重新启动。除使PC归零外,复位操作还对其他一些专用寄存器有影响,它们的复位状态如表所示:

表3-2 复位后的内部寄存器状态

寄存器 PC ACC B PSW SP DPTR P0-P3 IP IE 复位状态 0000H 00H 00H 00H 07H 0000H 0FFH (xxx00000) (0xx00000) 寄存器 TMOD TCON TH0 TL0 TH1 TL1 SCON SBUF PCON 复位状态 00H 00H 00H 00H 00H 00H 00H (xxxxxxxx) (0xxx0000) 另外,复位操作还对单片机的个别引脚有影响,例如会把ALE和/PSEN变成无效状态,即使ALE=0,/PSEN=1。RST变成低电平后,退出复位状态,CPU从初始状态开始工作。[6]

89C51复位操作有3种方式:上电复位、上电按钮复位和系统复位。上电复位电路如图3-4所示。对于CMOS型单片机因RST引脚的内部有一个拉低电阻,故电阻R可不接。单片机在上电瞬间,RC电路充电,RST引脚端出现正脉冲,只要RST端保持两个机器周期以上的高电平,就能使单片机有效地复位。当晶体振荡频率为12MHz时,RC的典型值为C=10uF,R=8.2K欧姆。简单复位电路中,干扰信号易串入复位端,可能会引起内部某些寄存器错误复位,这时可在RST引脚上接一去耦电容。

通常因为系统运行等的需要,常常需要人工按钮复位,复位电路如图3-5所示,其中R2>>R1,只需将一个常开按钮开关并联于上电复位电路,按下开关一定时间就能使RST引脚端为高电平,从而使单片机复位。[7]

+5V

C R RST 89S52 C +5V RST 89S52 R1 R2

图3-4 上电复位电路 图3-5 上电按钮复位电路

3.2.2 资源分配

P1口的P1.0-P1.3分别与四个按键连接,分别控制锯齿波、三角波、正弦波和方波,P1.4-P1.7与四个发光二极管相连,按键一对应发光二极管一,依次类推,发光二极管四对应按键四,实现输出一个波形对应亮一个灯。其中晶振采用12MHZ。

P0口与DAC0832的DI0-DI7数据输入端相连。

P2口用来控制DAC0832的输入寄存器选择信号/CS和数据传送信号/XFER。

3.3 各部分电路原理

3.3.1 键盘电路原理

在单片机应用系统中为了控制系统的工作状态,以及向系统输入数据,应用系统应设有按键或键盘,实现简单的人机会话。键盘是一组按键的组合,键通常是一种常开型按钮开关,平时键的两个出点处于断开状态,按下键时他们才闭合。从键盘的结构来分类,键盘可以分为独立式和矩阵式两类,每一类按其识别方法又都可以分为编码和未编码键盘两种。键盘上闭合键的识别由专门的硬件译码器实现并产生编号或键值的称为编码键盘,由软件识别的称未编码键盘。在由单片机组成的测控系统及智能化仪器中,用得较多的是未编码键盘,我这里也使用未编码键盘。未编码键盘又分为独立式键盘跟矩阵式键盘。

(1)独立式未编码键盘结构的工作原理及接口:在单片机应用系统中常常需要用简单的几个键完成数据、命令的输入,此时可采用独立式键盘的结构。其接口如图3-6所示。此接口电路的工作原理很简单,无键按下时,各输入线为高电平;有键按下时,相应的输入线为低电平,CPU查询此输入口的状态就可知是哪个键闭合。采用

一键一线的方法,当按键的数目增加时,将增加输入口的数量,为了减少占用输入线数,可采用矩阵式结构的键盘。

+5V

图3-6 独立式未编码键盘

(2)矩阵式未编码键盘结构的工作原理 如图3-7所示是4*4的键盘接口,它是矩阵式的结构。图中键盘的行线(X0~X3)与列线(Y0~Y3)的交叉处通过一个按键来联通,行线通过电阻接+5V,当键盘上没有键闭合时所有的行线和列线都断开,则行线都呈高电平。当键盘上某一个键闭合时,则该键所对应的行线和列线被短路。例如:6号键被按下闭合时,行线X1和列线Y2被短路,此时X1的电平由Y2的电位所决定。如果把行线接到单片机的输入口,列线接到单片机的输出口,则在单片机的控制下,先使列线Y0为低电平,其余三根列线Y1、Y2、Y3都为高电平,读行线状态。如果X0、X1、X2、X3、都为高电平,则Y0这一列上没有键合。如果读出的行线状态不全为高电平,则为低电平的行线和Y0相交的键处于闭合状态。如果Y0这一列上没有键合,接着使列线Y1为低电平,其余列线为高电平,用同样方法检查Y1这一列上有无键闭合。依此类推,最后使列线Y3为低电平,其余的列线为高电平,检查Y3这一列上是否有键闭合。这种逐行逐列地检查键盘状态的过程称为对键盘的一次扫描。CPU对键盘扫描可以采取程序控制的随机方式,CPU空闲时扫描键盘;也可以采取定时控制方式,每隔一定时间,CPU对键盘扫描一次,CPU可随时响应键盘输入请求;还可以采用中断方式,当键盘上有键闭合时,向CPU请求中断,CPU响应键盘输入中断,对键盘扫描,以识别哪一个键处于闭合状态,并对键输入信息作出相应处理。CPU对键盘上闭合键的键号确定,可以根据行线和列线的状态计算求得,也可以根据行线和列线状态查表求得。[8]

12 X3

8 X2

4 X1

0 X0

13 14 15 9 10 11 +5V 5 6 7 1 2 3 Y0 Y1 Y2 Y3

图3-7 4*4矩阵式未编码键盘结构图

根据设计的电路特点,只需要用到4个按钮来选择波形,实现的功能也比较简单,所以采用独立式未编码键盘结构。键盘原理图如图3-8所示。

ABC423K11423K21423K3142D3K41 图3-8 键盘原理图

3.3.2 LCD显示电路原理

液晶显示器以其微功耗、体积小、显示内容丰富、超薄轻巧的诸多优点,在袖珍式仪表和低功耗应用系统中得到越来越广泛的应用。在本设计采用的字符型液晶模块是一种用5x7点阵图形来显示字符的液晶显示器,根据显示的容量可以分为1行16个字、2行16个字、2行20个字等等,这里以常用的2行16个字的1602液晶模块来介绍它的编程方法。1602采用标准的16脚接口,其中:

第3脚:VEE为液晶显示器对比度调整端;

第4脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器;

第5脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS和RW共同为低电平时可以写入指令或者显示地址,当RS为低电平RW为高电平时可以读忙信号,当RS为高电平RW为低电平时可以写入数据;

第6脚:E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令; 第7~14脚:D0~D7为8位双向数据线;

第15~16脚:空脚。

液晶显示模块是一个慢显示器件,所以在执行每条指令之前一定要确认模块的忙标志为低电平,表示不忙,否则此指令失效。要显示字符时要先输入显示字符地址,也就是告诉模块在哪里显示字符,表2是DM-162的内部显示地址。

表2 1602的内部显示地址

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 序号 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 第一行 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 第二行 1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码。 在软件中设置温度的代码是:30.0℃(00110011B,00110000B,00101110B, 00110000B,01000011B);37.0℃(00110011B,00110111B,00101110B,00110000B, 01000011B);60℃(00110110B,00110000B,01000011B)。

在液显电路连接上,LCD1602显示模块可以直接和单片机AT89C51直接接口,液晶显示的D0~D7八个双向端口接AT89C51单片机的P0口的P0.0~P0.7,单片机的P0口可以作为通用的输入,输出端口使用,此时,若要驱动NMOS或其他拉电流负载时,需外接上拉电阻,才能使该位高电平有效,所以中间接10K的排阻,来决定显示器高低点位,是否要显示。

由于VEE端接电源时接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,对比度过低会使屏幕模糊不清,所以使用时可以通过一个10K的电位器来调整它的对比度。LCD1602的RS寄存器选择端口接单片机的P2.5口,通过软件程序中对此端口的设置来决定选择的寄存器。液显的RW端口直接接单片机的P2.6口,高电平时进行对输入的数字信号进行读数。使能E端接单片机的P2.7口,使能端由高电平到低电平时开始执行命令,把读数显示出来。

LCD显示电路原理图如图3—9:

LCD1602VCCGNDVCCV0RSR/WEND0D1D2D3D4D5D6D7R11k12P2734P2556D07D18D2910D3D411D51213D6D7141516VCCVCCAK

P10P11P12P13P14P15P16P17R210k

3.3.3 DAC0832芯片原理

管脚功能介绍如图3-10所示:

VCCC4104R5100U2Iout1Iout2RfbVref1112920C5104R4100OUT8GNDGND19182lsbDI0DI1DI2DI3DI4DI5DI6msbDI7CSXfer765416151413117ILEWR2WR1DAC0832

图3-10 DAC0832管脚图

310Vcc DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个DA芯片

以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。D/A转换器由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。

DAC0832的主要特性参数如下: 分辨率为8位; 电流稳定时间1us;

可单缓冲、双缓冲或直接数字输入; 只需在满量程下调整其线性度; 单一电源供电(+5V~+15V); 低功耗,仅需要200mW。

DI7~DI0:8位的数据输入端,DI7为最高位。 ILE:数据锁存允许控制信号输入线,高电平有效。 /CS:选片信号输入线(选通数据锁存器),低电平有效。

/WR1:数据锁存器写选选通输入线,负脉冲有效,由ILE、/CS、/WR1的逻辑组合产生/LE1,当/LE1为高电平时,数据锁存器状态随输入数据线变化,/LE1的负跳变时将输入数据锁存。

/XFER:数据传输控制信号输入线,低电平有效,负脉冲有效。

/WR2:DAC寄存器选通输入线,负脉冲有效,由/WR2、/XFER的逻辑组合产生/LE2,当/LE2为高电平时,DAC寄存器的输出随寄存器的输入而变化,/LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始D/A转换。

Iout1:模拟电流输出端1,当DAC寄存器中数据全为1时,输出电流最大,当 DAC寄存器中数据全为0时,输出电流为0。

Iout2:模拟电流输出端2,Iout1与Iout2的和为一个常数,即Iout1+Iout2=常数。

RFB:反馈电阻引出端,DAC0832内部已经有反馈电阻,所以 RFB端可以直接接到外部运算放大器的输出端,这样相当于将一个反馈电阻接在运算放大器的输出端和输入端之间。

VREF:参考电压输入端,此端可接一个正电压,也可接一个负电压,它决定0至255的数字量转化出来的模拟量电压值的幅度,VREF范围为(+10~-10)V。VREF端与D/A内部T形电阻网络相连。

Vcc:芯片供电电压,范围为(+5~+15)V。 AGND:模拟量地,即模拟电路接地端。 DGND:数字量地。

DAC0832主要由8位输入寄存器、8位DAC寄存器、8位D/A转换器以及输入控制电路四部分组成。8 位输入寄存器用于存放主机送来的数字量,使输入数字量得到缓冲和锁存,由加以控制;8位DAC寄存器用于存放待转换的数字量,由加以控制;8位D/A转换器输出与数字量成正比的模拟电流;由与门、非与门组成的输入控制电路来控制2个寄存器的选通或锁存状态[10]。原理框图如图3-11所示:

(MSB)DI7DI6DI5DI4DI3DI2DI1DI0(LSB)ILECSWR1XFERWR2DQDQ 8? DAC转换器RfbIOUT1IOUT2AGNDVREFDGNDVCC 8位 输入寄存器DQLE1 8? DAC???DQLE2当LE=1时,输出数据随输入变化。当LE=0时,输出数据被锁存。? 3-3 DAC0832???????

图3-11 DAC0832原理框图

当/WR2和/XFER同时有效时,8位DAC寄存器端为高电平“1”,此时DAC寄存器的输出端Q跟随输入端D也就是输入寄存器Q端的电平变化;反之,当端为低电平“0”时,第一级8位输入寄存器Q端的状态则锁存到第二级8位DAC寄存器中,以便第三级8位DAC转换器进行D/A转换。

一般情况下为了简化接口电路,可以把/WR2和/XFER直接接地,使第二级8位DAC寄存器的输入端到输出端直通,只有第一级8位输入寄存器置成可选通、可锁存的单缓冲输入方式。 特殊情况下可采用双缓冲输入方式,即把两个寄存器都分别接成受控方式。

制作低频信号发生器有许多方案:主要有单缓冲方式,双缓冲方式和直通方式。 单缓冲方式具有适用于只有一路模拟信号输出或几路模拟信号非同步输出的情形,电路线路连接比较简单。而双缓冲方式适用于在需要同时输出几路模拟信号的场合,每一路模拟量输出需一片DAC0832芯片,构成多个DAC0832同步输出电路,程序

简单化,但是电路线路连接比较复杂。根据以上分析,本设计选择了单缓冲方式,此种方式使用方便,程序简单,易操作。[12]

这里采用DAC0832与单片机89C51的典型的单缓冲方式接口电路。ILE接高电平,/WR1和/WR2相连后接89C51的/WR,/CS和/XFER相连后接89C51的地址高位,这样就同时片选了DAC0832的数据锁存器和DAC寄存器,89C51对DAC0832执行一次写操作就把一个数据写入数据锁存器的同时也直接写入到了DAC寄存器,模拟量输出随之变化。

DAC0832与反相比例放大器相连,实现电流到电压的转换,因此输出模拟信号的极性与参考电压的极性相反,数字量与模拟量的转换关系为

Vout1=-Vref×(数字码/256)

DAC0832内部的8位D/A转换电路由8位T型电阻网络和电子开关组成,电子开关受8位DAC寄存器输出的数字量控制,T型电阻网络能输出和数字量成正比的模拟电流。因此,DAC0832通常需要外接运算放大器,进行电流电压转换,才能得到模拟输出电压。

当输入到DAC0832的数字量最高位为1时,则输出的模拟电压为正;当输入到DAC0832的数字量最高位为0时,则输出的模拟电压为负,从而实现了模拟电压的双极性输出。

3.3.4 LM358工作原理

LM358管脚排列如下图所示:

U1OUT1IN1-IN1+GNDVCCOUT2IN2-IN2+8765

1234LM358图3-13 LM358管脚图

LM358是双运放集成电路 ,它采用8脚双列直插塑料封袋,外形如上图所示。它的内部包含两组形式完全相同的运算放大器,除电源共用外,两组运放相互独立。每一组运算放大器可用图中所示的符号来表示,它有5个引出脚,其中“+”、“-”为两个信号输入端,“V+”、“V-”为正、负电源端,“OUT”为输出端。两个信号

输入端中,“-”为反相输入端,表示运放输出端OUT的信号与该输入端的为相反;“+”为同相输入端,表示运放输出端OUT的信号与输入端的相位相同。由于LM358双运放电路具有电源电压范围宽,静态功耗小,可单电源使用,价格低廉等优点,因此被广泛应用在各种电路中。 特性:

① 低输入偏流 ② 内部频率补偿

③ 直流电压增益高(约100dB) ④ 单位增益频带宽(约1MHz)

⑤ 电源电压范围宽:单电源(3—30V);双电源(±1.5 一±15V) ⑥ 低功耗电流,适合于电池供电 ⑦ 低输入失调电压和失调电流 ⑧ 共模输入电压范围宽,包括接地

⑨ 差模输入电压范围宽,等于电源电压范围 ⑩ 输出电压摆幅大(0 至Vcc-1.5V)

U11234OUT1VCCIN1-OUT2IN1+IN2-GNDIN2+LM358VCC8765OUTR61KRT110321 J1波形输出图3-14 LM358原理图

4 系统软件设计

系统软件设计主要由主程序和产生波形的子程序组成,其着重点是产生各种波形的子程序的编程,通过编程来得到各种波形。系统软件根据功能分了以下几个模块编程:主程序模块、锯齿波模块、三角波模块、正弦波模块、方波模块、延时子程序模块等。其中各种波形的频率改变可通过采用插入延时子程序的方法来实现。

显示波形模块是利用DAC0832的8位特点,把波形的数据以8位数据的形式送进CPU中,只要一按键就能显示波形。

4.1 主程序流程图

如图4-1所示:

开始 Key1按下了吗? N Key2按下了吗? N Y 输出对应波形 Y 加频率 Key3按下了吗? Y 减频率 N Y Key4按下了吗? N 调节频率步进值 图4-1 主程序流程图

本软件设计过程中主要实现利用按键来控制不同波形的输出,当按键1按下时,函数发生器就切换不同的波形;当按键2按下时,函数发生器就加频率;当按键3按下时,函数发生器就减频率;当按键4按下时,函数发生器就进入调节步进值。

4.2 子程序流程图

锯齿波流程图如图4-2所示。

开始 A=00H A送到0832输出 A=A+1 Y A=FFH? N

图4-2 锯齿波流程图

锯齿波产生将00H送入寄存器A中,DAC0832输出A中的内容,当A中的内容等于FFH返回开始,当A中的内容不为FFH时,A中的内容累加,从而输出波形。

锯齿波产生子程序如下: uchar code juchi[64]={

0,4,8,12,16,20,24,28,32,36,40,45,49,53,57,61,65,69,73,77,81,85,89,93,97,101,105,109,113,117,121,125,130,134,138,142,

146,150,154,158,162,166,170,174,178,182,186,190,194,198,202,206,210,215,219,223,227,231,235,239,243,247,251,255

};

三角波流程图如图4-3所示

开始 A=00H Y A送到0832输出 A=00H?A=A+1 A送到0832输出 N

N A=00H?Y A=A-1

图4-3 三角波流程图

三角波产生通过A中数值的加一递升,当A中的内容加到为0时, A中的内容减一递减,从而循环产生三角波。

三角波产生子程序如下: uchar code sanjiao[64]={

0,8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128,136,144,152,160,168,176,184,192,200,208,216,224,232,240,248,

248,240,232,224,216,208,200,192,184,176,168,160,152,144,136,128,120,112,104,96,88,80,72,64,56,48,40,32,24,16,8,0

};

正弦波程序流程图如图4-4所示

开始 A=00H A=正弦函数表数据 A送到0832输出 N DPTR1=DPTR1+1 Y A=129?

图4-4 正弦波程序流程图

正弦波波形设计通过查表指令得出。其产生子程序如下: uchar code sin[64]={

135,145,158,167,176,188,199,209,218,226,234,240,245,249,252,254,254,253,251,247,243,237,230,222,213,204,193,182,170,158,

146,133,121,108,96,84,72,61,50,41,32,24,17,11,7,3,1,0,0,2,5,9,14,20,28,36,45,55,66,78,90,102,114,128

};

方波程序流程图如图4-5所示

开始 A=00H A送到0832输出 延时 A=FFH A送到0832输出 延时

图4-5 方波程序流程图

方波产生是当A中的内容为0时,输出对应模拟量,然后延时,当A中的内容为0FFH时,同样输出对应模拟量,再延时,从而得到方波。

方波产生子程序如下:

uchar code juxing[64]={

255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,

255,255,255,255,255,255,255,255,

255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,

0,0,0,0

};

延时子程序流程图如图4-6所示

开始 R6赋初值 R7赋初值 R7减1,R7是否 为0? Y N N R6减1,R6是否 为0? Y 返回 图4-6 延时子程序流程图

延时程序如下:

void delay(uint xms) //延时函数 {

int a,b;

for(a=xms;a>0;a--) for(b=110;b>0;b--);

}方波的上限和下限的延时时间为:3ms S=1+(1+1+2×256+2)×7=3612μS

5 测试结果

在确定编程思路并将各部分的程序及各子程序编好,就可以对本文所设计的硬件电路和系统软件进行总体测试了。本文调试软件采用的是Keil51,它是众多单片机应用开发软件中优秀软件之一,界面友好,易写易操作。程序在Keil51软件中调试完毕后,会自动生成.hex文件,再通过编程器下载到STC89C51中,然后直接加载到protues软件电路中进行仿真,即可得到测试结果。

当按键1拨下时,波形为锯齿波,同时指示灯1发光。仿真图如图5-1所示:

图5-1 锯齿波仿真图

当按键2拨下时,波形为三角波,同时指示灯2发光。仿真图如图5-2所示:

图5-2 三角波仿真图

当按键3拨下时,波形为正弦波,同时指示灯3发光。仿真图如图5-3所示:

图5-3 正弦波仿真图

当按键4拨下时,波行为方波,同时指示灯4发光。仿真图如图5-4所示:

图5-4 方波仿真图

在对系统进行波形仿真时可以在protues软件的虚拟示波器上观察到锯齿波、三角波、正弦波和方波的波形,仿真得到的波形较为理想。从而可得到以下结论:本文设计的硬件电路和系统软件均能满足此次设计的要求。

6 结论

基于单片机的智能信号发生器的设计这个课题的设计目的是充分运用大学期间所学的专业知识,考察现在正在使用的信号发生器的基本功能,完成一个基本的实际系统的设计全过程。关键是这个实际系统设计的过程,在整个过程中我可以充分发挥单片机知识,特别是这个信号发生器的设计中涉及到一个典型的控制过程,即通过单片机STC89C51控制一个模数转换器DAC0832产生所需要的电流,然后使用两个运算放大器LM358将其电流输出线性地转换成电压输出,通过程序的控制,可以产生一系列有规律的波形。这样的一个信号发生装置在控制领域中有相当广泛的应用范围。

此设计方案硬件较为简单,主要是由单片机STC89C51跟DAC0832两个芯片构成,连线也较简便。键盘电路使用的是独立未编码结构,一个键控制一个波形。显示电路主要是由发光二极管构成,利用发光二极管的导通即发光的特性来显示是哪个波形的输出,简单易懂。软件程序方面较为复杂一点,此方案主要是靠程序的控制,由四个波形产生的子程序加上有承上启下作用的主程序构成,外加能够改变各波形频率的延时子程序的调用,程序设计思路还是比较清晰的。其中正弦波的程序最为繁琐,因为是通过查表指令产生的,所以要列出一个含有较多字符的表格。但总体设计结果还是相当令人满意的,硬件电路和软件程序都能满足本设计的各方面要求,且具有电路简单、易控制、性价比较高等优点。

当然本设计还是存在一些不足之处,比如在程序设计中如何实现程序结构的最优化以达到较高的质量,显示电路以及键盘电路都有待进一步改进。

致 谢

文从选题的确定,论文的写作、修改到最后定稿得到了我的指导老师闫艳霞老师的悉心指导。特别是她多次询问写作进程,并为我指点迷津,帮助我开拓思路,精心点拨,热忱鼓励。她严肃的教学态度,严谨的治学精神,精益求精的工作作风深深地感染和激励着我。在此,谨向闫艳霞老师致以诚挚的谢意和崇高的敬意。

同时,论文的顺利完成,还离不开其它各位老师、同学和朋友的关心与帮助。在整个论文的写作过程中,各位老师、同学和朋友积极的帮助我查资料和提供有利于论文写作的建议和意见,在他们的帮助下,论文得以不断的完善,最终帮助我顺利的写完了整个论文。

再次衷心地感谢所有在我论文写作过程中给予过我帮助的人们,谢谢!

参考文献

[1]杨恢先,黄辉先.单片机原理及应用[M].人民邮电出版社,2006. [2]曾一江.单片机微机原理与接口技术[M].科学出版社,2006.

[3]康华光,陈大钦.电子技术基础[M].高等教育出版社,1998.

[4]穆 兰.单片微型机计算机原理及接口技术[M].北京机械工业出版社 [5]张毅刚,等.MCS-51单片机应用设计[M].哈尔滨工业大学出版社

[6]蒋智勇,等.单片微型计算机原理及应用[M].沈阳辽宁科学技术出版社

[7]何立民.单片及应用文集(1)[M].北京航天航空大学出版社李华.MCS-51系列单片机实用接

口技术[M].

[8]何立民.单片机应用技术选编[M].f [9]阎石.模拟电子技术基础[M].

[10]张洪润,易涛.单片机应用技术教程[M].清华大学出版社.

[11]刘乐善, 欧阳星明, 刘学清.微型计算机接口技术及应用[M].华中科技大学出版社.

附录A 元件清单

1) 9*15万用板 2) STC89C52单片机 3) DAC0832芯片 4) LM358芯片 5) 8脚IC座 6) 20脚IC座 7) 40脚IC座 8) 1602液晶 9) 16p母座 10) 16p排针 11) 4p排针 12) 103电位器 13) 10k电阻*2 14) 2.2k电阻*3 15) 100欧姆电阻*2 16) 220欧姆电阻 17) 1k电阻*2 18) 103排阻(10k) 19) 按键*5

20) 5mmLED(红、黄、绿、雾白)*4 21) 10uf电解电容 22) 30pf瓷片电容*2 23) 12M晶振 24) 104独石电容*2 25) 自锁开关 26) DC电源插口 27) 导线若干 28) 焊锡若干

29) USB电源线或电池盒

VCC10kVCCC1+10uFR310310K(AD0)P0.0(AD1)P0.1(AD2)P0.2(AD3VC)PC0.3(AD4)P0.4(AD5)P0.5(AD6)P0.6(AD7)P0.7D1附录B 电路原理图

REDR42K0RESETR72.2K31P10P11P12P13P14P15P16P1712345678910D0D1D2D3D4D5D6D7123456789VCCD2REDYR82.2KD3REDGP1.0P1.1P1.2P1.3P1.41.05(RXD)P31.16TXD)PP33.2((INT0)ALE/PROGP1.EA/VPPP3.73(INT1)RST(A15)P2.7(A14)P2.6P3.4(T0)(A13PE2.5P)SNP3.5(T1)(A12)P2.4P3.6(WR)(A11)P2.3P3.7(RD)(A10)P2.2P27P26XTAL2XTAL1GND(A9)P2.1(A8)P2.0R9220D4REDWR102.2KVCCY1C230pf12MHz42AJ1C330pfU389C51/C52K1K2K3K4111213141516171819204039383736353433323130292827262524232221波形输出21LM35812343K1142U1OUT1IN1-IN1+GNDVCCOUT2IN2-IN2+

VCCBR5R41001003K21OUT8421918291112CU2RfbVrefIout1Iout2ILEWR2WR1VCCDAC08323K31C5C4104104R11kVCC8765OUTR61KVCCGNDVCCV0R/SRWR210kRT1103LCD16022GND310DCSXfer117Vcc20GNDP1423K4131POWERlsbDI0DI1DI2DI3DI4DI5DI6msbDI7765416151413P10P11P12P13P14P15P16P17END0D1D2D3D4D5D6D7S1VCC12P2734P2556D07D18D2910D3D411D51213D6D7141516AKVCC246246135135

附录C 仿真图

附录D 程序清单

#include //包含头文件 #include

#define uchar unsigned char //宏定义 #define uint unsigned int

sbit s1=P3^5; //定义按键的接口 sbit s2=P3^6; sbit s3=P3^7; sbit s4=P3^4;

sbit led0=P3^0; sbit led1=P3^1; sbit led2=P3^2; sbit led3=P3^3; sbit lcdrs=P2^7; //液晶控制位 sbit lcden=P2^6;

char num,boxing,u; //定义全局变量 int pinlv=100,bujin=1,bujin1=1; uchar code table[]=\ //定义显示的数组 uchar code table1[]=\ Wave form:%unsigned long int m; int a,b,h,num1;

uchar code sin[64]={

135,145,158,167,176,188,199,209,218,226,234,240,245,249,252,254,254,253,251,247,243,237,230,222,213,204,193,182,170,158,

146,133,121,108,96,84,72,61,50,41,32,24,17,11,7,3,1,0,0,2,5,9,14,20,28,36,45,55,66,78,90,102,114,128 }; //正弦波取码 uchar code juxing[64]={

255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,

255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0 }; //矩形波取码

uchar code sanjiao[64]={

0,8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128,136,144,152,160,168,176,184,192,200,208,216,224,232,240,248,

248,240,232,224,216,208,200,192,184,176,168,160,152,144,136,128,120,112,104,96,88,80,72,64,56,48,40,32,24,16,8,0 }; //三角波取码 uchar code juchi[64]={

0,4,8,12,16,20,24,28,32,36,40,45,49,53,57,61,65,69,73,77,81,85,89,93,97,101,105,109,113,117,121,125,130,134,138,142,

146,150,154,158,162,166,170,174,178,182,186,190,194,198,202,206,210,215,219,223,227,231,235,239,243,247,251,255 }; //锯齿波取码

void delay(uint xms) //延时函数 { int a,b; for(a=xms;a>0;a--)

for(b=110;b>0;b--); }

void write_com(uchar com) { lcdrs=0; P0=com; delay(1); lcden=1; delay(1); lcden=0; }

void write_date(uchar date) { lcdrs=1; P0=date; delay(1); lcden=1; delay(1); lcden=0; }

//自定义字符集

void Lcd_ram() { uint i,j,k=0,temp=0x40; for(i=0;i<7;i++) { for(j=0;j<8;j++) { write_com(temp+j); write_date(zifu[k]); k++; } temp=temp+8; } }

void init_lcd() { uchar i; lcden=0; Lcd_ram(); write_com(0x0f); write_com(0x38); write_com(0x0c); 显示,不显光标,光标不闪 write_com(0x06); write_com(0x80); for(i=10;i<20;i++) { write_date(table1[i]); } write_com(0x80+0x40); for(i=0;i<9;i++) {

//写命令函数

//写数据函数

//初始化函数

//默认开始状态为关使能端,见时序图

//显示模式设置,默认为0x38,不用变。

//显示功能设置0x0f为开显示,显示光标,光标闪烁;0x0c为开//设置光标状态默认0x06,为读一个字符光标加1. //设置初始化数据指针,是在读指令的操作里进行的 //显示初始化

write_date(table1[i]); } write_com(0x80+10); write_date(0); write_date(1); write_date(0); write_date(1); write_date(0); write_date(1); write_com(0x80+0x40+0x09); write_date(' '); write_date('z'); }

void initclock() //时钟初始化 { TMOD=0x01; TH0=a; TL0=b; EA=1; ET0=1; TR0=1; }

void display() //显示函数 { uchar qian,bai,shi,ge; qian=pinlv/1000; bai=pinlv00/100; shi=pinlv000/10; ge=pinlv000; write_com(0x80+0x40+0x09);

if(qian==0) write_date(' '); else write_date(table[qian]); if(qian==0&&bai==0) write_date(' '); else write_date(table[bai]); write_date('z'); if(boxing==0) { write_com(0x80+10); write_date(0); write_date(1); write_date(0); write_date(1); write_date(0); write_date(1); led3=1; led0=0; } if(boxing==1) { write_com(0x80+10); write_date(2); write_date(3); write_date(2);

led0=1; led1=0; } if(boxing==2) { write_com(0x80+10); write_date(4); write_date(5); write_date(4); write_date(5); write_date(4); write_date(5); led1=1; led2=0; } if(boxing==3) { write_date(6); write_date(6); write_date(6); led2=1; led3=0; } }

void keyscan() //键盘检测函数 { if(s1==0) { EA=0; delay(2); } display(); m=65536-(150000/pinlv); a=m/256; b=m%6; EA=1; } } if(s2==0) { delay(5); if(s2==0) { EA=0; while(!s2); pinlv-=bujin; if(pinlv<100) { a=m/256; b=m%6; EA=1; } }

if(s3==0) { delay(5); if(s3==0) { EA=0; while(!s3); boxing++; if(boxing>=4) { boxing=0; } display(); EA=1; } } }

void bujindisplay() { uint bai,shi,ge; bai=bujin1/100; shi=bujin10/10; ge=bujin10; write_com(0x80+11); if(bai==0) write_date(' '); else write_date(table[bai]); write_date(table[shi]); write_date('.'); write_date(table[ge]); }

void bujinjiance() { if(s4==0) { delay(5); if(s4==0) { while(!s4); h++; if(h==1) { write_com(0x01); write_com(0x80); write_date('S');delay(1); write_date('t');delay(1); write_date('e');delay(1) write_date('e');delay(1); write_date(':');delay(1); bujin1=bujin; bujindisplay(); } if(h==2) { h=0;

//step value

bujin=bujin1; init_lcd(); initclock(); display(); } } } if(h==1) { if(s1==0) { delay(5); if(s1==0) { 1; } bujindisplay(); } } if(s2==0) { delay(5); if(s2==0) { while(!s2); bujin1--; if(bujin1<=0) { bujin1=100; } bujindisplay(); } } } }

void main() //主函数 { init_lcd(); while(1) { if(h==0) { keyscan(); // display(); } bujinjiance(); switch(boxing) { case 0 : P1=sin[u]; break; case 1 : P1=juxing[u]; break; case 2 : P1=sanjiao[u]; break; case 3 : P1=juchi[u]; break; }

} }

void T0_time()interrupt 1 { TH0=a; TL0=b; u=0; }

//定时器

本文来源:https://www.bwwdw.com/article/jfk8.html

Top