四路彩灯显示电路2010

更新时间:2024-07-11 09:42:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

课 程 设 计

课程名称 课题名称 专 业 班 级 学 号 姓 名 指导教师

2010 年?月?日

xxxxxxxxxx学院

课程设计任务书

课程名称: 数字电子技术

题 目:四路彩灯显示电路

专业班级:

学生姓名: 学号:

指导老师: 审 批:

任务书下达日期 2010 年 x 月 x 日 设计完成日期 2010 年 x 月 x 日

设计内容与设计要求 一、 任务与要求:

2

用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下: 1、 开机自动置入初始状态后即能按规定的程序进行循环显示。 2、 程序由三个节拍组成: 第一节拍时,四路输出Q1~Q4依次为1,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。第二节拍时,Q4~Q1依次为0,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。第三节拍时,Q1~Q4输出同时为1态0.5秒,然后同时为0态0.5秒,使四路彩灯同时点亮0.5秒然后同时灭0.5秒,共进行4次。每个节拍费时都为4秒,执行一次程序共需12秒 3、 用发光二极管显示彩灯系统的各节拍; 4、 功能扩展(自选) 二、设计要求: 1、 设计思路清晰,给出整体设计框图; 2、 设计各单元电路,给出具体设计思路、电路器件; 3、 总电路设计; 4、 计算机仿真 5、 安装调试电路; 6、 写出设计报告; 主要设计条件

3

1. 提供直流稳压电源、示波器; 2. 提供 TTL集成电路芯片、电阻、电容及插接用面包板、导线等。 说明书格式 1、 课程设计封面; 2、 课程设计任务书; 3、 说明书目录; 4、 设计总体思路,基本原理和框图; 5、 单元电路设计(各单元电路图); 6、 总电路设计(总电路图); 7、 安装、调试步骤; 8、 故障分析与电路改进; 9、 总结与设计调试体会; 10、 附录(元器件清单); 11、 参考文献; 12、 课程设计成绩评分表。 进 度 安 排

4

第一周 星期一 上午 安排任务、讲课。 星期一 ~星期五上午 查资料、设计 第二周 1、 计算机仿真 2、 测试元器件 3、 调试单元电路 4、 调试总电路 5、 调试验收 6、 写课程设计报告书 星期五下午 答辩 地 点:???

第一章 系统组成及工作原理 ................................................................................................ 6 1.1 1.2 1.3

设计总体思路 ............................................................................................................ 6 基本原理 .................................................................................................................... 7 框图 ............................................................................................................................ 7

5

第二章 单元电路设计 ............................................................................................................ 7 2.1

时钟脉冲产生电路 .................................................................................................... 8

2.1.1 具体实现 ............................................................................................................ 8 2.2 循环控制电路 ............................................................................................................ 9 2.2.1 具体实现: ...................................................................................................... 10 2.3 彩灯花样输出电路 .................................................................................................. 10 2.3.1 运用到74LS194功能表 ...................................................................................11 2.3.2 通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'端真值表 ........................................................................................................... 12 2.3.3 彩灯花样输出电路接线图 .............................................................................. 13

第三章 总电路设计 .............................................................................................................. 14 第四章 实验、调试及测试结果分析................................................................................... 15 4.1

结果的调试及分析 .................................................................................................. 15

4.1.1 调试使用的主要仪器: 数字万用表 直流稳压电源 示波器 函数信号发生器 15

4.1.2 测试电路的方法和技巧: ................................................................................ 15 4.2 调试中出现的故障、原因及排除方法: ............................................................... 15 4.2.1 彩灯只有一种花样变化,没有其它的花样: .............................................. 15 4.2.2 彩灯无规律变化: .......................................................................................... 15 4.2.3 彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮: 15

第五章 总结与设计调试体会 .............................................................................................. 16 第六章 附录 .......................................................................................................................... 17 6.1 6.2

元器件清单: .......................................................................................................... 17 参 考 文 献 .............................................................................................................. 17

第一章 系统组成及工作原理

1.1 设计总体思路

根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,

6

循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。

1.2 基本原理

由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR’。可以用一个16进制计数器产生脉冲信号,一路送到控制12进制的计数器,一路经逻辑电路送到移位寄存器。

1.3 框图

多 谐 振 荡 器 12进制循环控制器 16进制分频计数器 移位计数器74LS191 彩 灯 显 示 输 出 第二章 单元电路设计

7

2.1 时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为:

T=0.7(R1+2R2)C………………………(1-1)

控制74LS161模十六计数器电阻值和电容值可设为: R1=1KΩ , R2=20KΩ , C=0.01μF 由公式(1-1)计算得:T=0.287S

VCC5V

R1 1kohm8U14VCCRST

R27351kohm6DISOUT 2THRTRI555_VIRTUAL5 CONGNDC1 1 C20.01uF 10uF图2.1 时钟电路

2.1.1 具体实现

8

74LS161

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,控制74LS161模十六计数器,74LS161A输出2倍T的脉冲信号;B输出4倍T的脉冲信号,大约为1S。

2.2 循环控制电路

9

12进制循环控制电路示意图(74LS161由74163代替)

2.2.1 具体实现:

如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR’,将计数器清零。此处工作状态从0000~1011,检测1100(异步清零)。

2.3 彩灯花样输出电路

10

2.3.1 运用到74LS194功能表

输 入

/CS1 S0 CP SL

SR

D0 D1 D2 D3

输 出 Q0 Q1 Q2 Q3

功 能

R

0 × ×1 1 1 1 0 1 1

1

0

× × ↑ × ↑ × ↑

0

× d0 1 × × × ×d1 d2 × ×× ×× 0 d3 d0 × 1 × Q1

n

0

0

d1 d2 Q0Q1n n Q2Q3n

n

0 清

d3 送

数 Q2右 n 移 0 左

11

× × ×

2.3.2 通过12进制计数器的输出端的C、D信号控制移位寄存器

的S0和S1及其CLR'端真值表 CLK 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 1 Hz脉冲 B 时间 /S 1 2 3 4 2 Hz 脉冲 A 节QD QC 拍 0 0 第0 0 一0 0 节0 0 拍 5 第0 1 6 二0 1 7 节0 1 8 拍 0 1 9 1 0 1 0 第10 三1 0 1 0 节11 拍 1 0 1 0 12 1 0 1 0 S0 S1 SR SL 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 * 74LS194 动作 * 右移1 0 左移0 1 1 1 1 1 * 1 1 1 送* 清 1 零 由上表由: S0=QC'

S1=QD+QC CLR'=(QD*A+QD')

进一步分析可知74LS194脉冲控制: CLK=(QD*A+QD')*QD+B

12

2.3.3 彩灯花样输出电路接线图

13

第三章 总电路设计

14

第四章 实验、调试及测试结果分析

4.1 结果的调试及分析

4.1.1 调试使用的主要仪器: 数字万用表 直流稳压电源 示波器

函数信号发生器

4.1.2 测试电路的方法和技巧:

先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查

无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止 。

4.2 调试中出现的故障、原因及排除方法:

4.2.1 彩灯只有一种花样变化,没有其它的花样:

可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。还可能是12进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。特别注意不能把非门的输入与输出接反了。

4.2.2 彩灯无规律变化:

原因可能是12进制循环控制电路不能产生符合要求的控制码,检查模十六计数器的CP脉冲是否稳定,看74LS161是否能计数,即QA、QB、QC、QD是否周期性的高低电平变化,前面没问题,再检查与非门74LS20和非门74LS04是否能实现它们逻辑功能。

4.2.3 彩灯的四种花样都有,但一次循环结束到另一次循环开始

15

中间有段时间彩灯不亮:

原因可能是控制循环的12进制计数器没有真确接线,实际控制循环的进制大于12进制,导致有放空时间。应该检查74LS20是否正常工作,确保能实现12进制计数。

第五章 总结与设计调试体会

当完成这次课程设计后,感觉很有成就感,因为从电路设计到电路仿真,接线,测试都是自己独立完成。第一次真正体会到将所学知识运用到实践中的快乐,最终得到了完美的结果,但是最重要的是经历一次如此难忘的过程。

设计电路最重要的是思路要清晰,一旦有了自己的思路就应该有层次有条理的探索下去,只要坚持自己的观点和判断,就一定能实现,即便最后发现走进了死胡同,但是探索设想与求证的过程却是通往另一条道路必不可少的环节。

在完成这次设计的过程中,我也有参考相关的设计课题,甚至还花了很长的时间去弄清楚别人的设计,以至于后来被束缚住,对自己的设计没有了头绪。学习吸收别人的长处是应该的,但是不能完全沉浸进去,要有自己的思路和观点,并且努力去实现。这就是快乐的最大源泉。 在完成设计的过程中自己不断解决了一个个的难题,要通向最后的成功,绝不会一蹴而就,饭要一口一口地吃,路要一步一步地走,做事要踏实,实事求是,不能好高骛远。

在电路接线过程中,也出现了很多问题,问题多并不可怕,可怕的是在反复的受挫中没有革新,不能改进自己的方法和思路。

通过这次课程设计,我对电子技术中的诸多知识有了更深层次的理解,也初步学会了如何将理论知识有机地与实际结合加以运用。这是一次获

益匪浅的实习。

16

第六章 附录

6.1 元器件清单:

555定时器,模十六计数器74LS161, 与门74LS09,与非门74LS20,非门74LS04,或门74LS32。74LS194双向移位寄存器,发光二极管等。

6.2 参 考 文 献

《电子技术课程设计》 历雅萍、易映萍编 《电子技术课程设计指导》 彭介华、主编 高等教育出版社

《电子线路设计、实验、测试》 谢自美主编 华中理工出版社。

17

电气与信息工程系课程设计评分表

项 目 设计方案的合理性与创造性 硬件制作或软件编程完成情况* 硬件制作测试或软件调试结果* 设计说明书质量 设计图纸质量 答辩汇报的条理性和独特见解 答辩中对所提问题的回答情况 完成任务情况 独立工作能力 组织纪律性(出勤率) 综合评分

指导教师签名:________________

评 价 日 期:________________

注:①表中标*号项目是硬件制作或软件编程类课题必填内容;

②此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。

18

本文来源:https://www.bwwdw.com/article/jdx.html

Top