EDA-D触发器的设计

更新时间:2023-12-13 10:42:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

南 京 工 程 学 院

通信工程学院

实 验 报 告

课 程 名 称 TCP/IP

实验项目名称 多进程并发服务器编程

实验学生班级 媒通111 实验学生姓名 陆春萍 实验学生学号 208110509 实 验 时 间 2013.10.25 实 验 地 点 信息楼C216

实验成绩评定 指导教师签名 年 月 日

一、实验目的

学习在maxplusⅡ下用VHDL语言设计简单时序电路与功能仿真的方法。

二、验仪器设备

1、PC机一台 2、maxplusⅡ。

三、实验要求

1、预习教材中的相关内容,编写出D触发器的VHDL源程序。 2 熟悉maxplus软件使用方法

3、用VHDL语言输入方式完成电路设计,编译、仿真。

四、实验内容及参考实验步骤

(一)、设计输入

1、开机,进入maxplusⅡ。

2、为本工程设计建立一个工程文件夹,file之projiect,name之dff1;

3、建立设计文件。

选择File菜单之New项,选择文件类型,本设计选择VHD File。建立一个文本编辑文件

4、点击OK,输入源程序:

5、保存文件。注意,必须保存为vhd类型,且文件名与源程序的实体名相同。

(二)、编译

1、编译。点击Start Compilation按钮进行编译。如果发现错误,改正后再次编译。

(三)、仿真

1、建立波形文件。

选择File菜单之New项,选择waveform edit file的SCF文件类型,建立一个波形文件

2、设定仿真时间:选择菜单file的End Time …50us,.设定仿真时间域。

3、输入端口信号。选择菜单node的enter nodes from snf 项,在弹出得出的对话框中单击List按钮,将需要的端口信号拖倒波形编辑器中。

4、编辑输入波形。在输入端口加上适当的信号,以便在输出端进行观察。 5、保存文件。

6、进行仿真。点击Start Simulation按钮进行仿真。

7、仿真输出波形:

五、VHDL语言的设计流程

设计输入、编译、仿真

六、时序电路的设计方法

编辑和输入设计文件、创建工程、全程编译前约束项目设置、全程综合与编译、仿真测试

本文来源:https://www.bwwdw.com/article/j3q5.html

Top