数字逻辑 数字时钟

更新时间:2023-12-17 11:50:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

武汉工程大学 计算机科学与工程学院

综合设计报告

设计名称: 信息硬件综合设计 设计题目: 数字钟的设计与实现 学生学号: 1205110204 专业班级: 12信技02班 学生姓名: 陈振 学生成绩: 指导教师(职称): 陈艳(讲师) 完成时间: 2014年5月6日至 2014年6月6日

武汉工程大学计算机科学与工程学院 制

说明:

1、报告中的第一、二、三项由指导教师在综合设计开始前填写并发给每个学生;四、五两项(中英文摘要)由学生在完成综合设计后填写。

2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩。

3、指导教师评语一栏由指导教师就学生在整个设计期间的平时表现、设计完成情况、报告的质量及答辩情况,给出客观、全面的评价。 4、所有学生必须参加综合设计的答辩环节,凡不参加答辩者,其成绩一律按不及格处理。答辩小组成员应由2人及以上教师组成。 5、报告正文字数一般应不少于5000字,也可由指导教师根据本门综合设计的情况另行规定。

6、平时表现成绩低于6分的学生,其综合设计成绩按不及格处理。 7、此表格式为武汉工程大学计算机科学与工程学院提供的基本格式(适用于学院各类综合设计),各教研室可根据本门综合设计的特点及内容做适当的调整,并上报学院批准。

答辩记录表

学生姓名: 陈振 学号: 1205110204 班级 12信技02班 答辩地点: 411机房 答辩内容记录: 合计 各项分值 分值 评分标准 实际得分 合计得分 备注 答辩成绩 25 在规定时间内能就所设计的内容10 进行阐述,言简意明,重点突出,论点正确,条理清晰。 15 在规定时间内能准确、完整、流利地回答教师所提出的问题。 答辩小组成员(签字): 年 月 日 成绩评定表

学生姓名: 陈振 学号: 1205110204 班级: 12信技02班 类别 平时表现 合计 各项分值 分值 10 10 评分标准 按时参加综合设计,无旷课、迟到、早退、违反实验室纪律等情况。 实际合计得分 得分 备注 完成情况 30 按设计任务书的要求完成了全部20 任务,能完整演示其设计内容,符合要求。 能对其设计内容进行详细、完整的10 介绍,并能就指导教师提出的问题进行正确的回答。 报告文字通顺,内容翔实,论述充分、完整,立论正确,结构严谨合10 理;报告字数符合相关要求,工整规范,整齐划一。 5 课题背景介绍清楚,综述分析充分。 设计方案合理、可行,论证严谨,逻辑性强,具有说服力。 符号统一;图表完备、符合规范要求。 能对整个设计过程进行全面的总结,得出有价值的结论或结果。 参考文献数量在3篇以上,格式符合要求,在正文中正确引用。 报告质量 35 5 5 5 5 答辩情况 25 在规定时间内能就所设计的内容10 进行阐述,言简意明,重点突出,论点正确,条理清晰。 15 在规定时间内能准确、完整、流利地回答教师所提出的问题。 总评成绩: 分 补充说明: 指导教师: (签字) 日 期: 2014 年 6 月 5 日

指导教师评语

指导教师: (签字) 日 期: 2014 年 6 月 5 日

二、进度安排: 第13周 选题, 查阅资料,初步确定设计方案。 第14周 确定方案,芯片选型,软件仿真,基本模块搭建。 第15周周三前 系统的测试,扩展电路的设计 第15周周四、周五 系统总体测试。总结交流,写报告 16周周一 答辩 三、应收集资料及主要参考文献: 应收集的资料主要包括以下几类: 1)数字逻辑相关教材 [1] 王永军、李景华等.数字逻辑与数字系统(第3版).电子工业出版社 [2] 于淑萍.电子技术实践.机械工业出版社 [3] 白中英.数字逻辑与数字系统.科学出版社 [4] 欧阳星明.数字逻辑.华中科技大学出版社 2)实用电路/芯片速查手册或者相应芯片电子文档。 3)EDA工具学习指导 4)相关设计的参考文章或者其它资料

四、摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解在制作中用到的各种中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时序电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 关键字:数字电路;时序电路;机械式 五、Abstract: Digital clock is a digital circuit technology, minutes and seconds, timing devices, and mechanical clock, compared with higher accuracy and intuitive, and no mechanical devices, with more longer life, so it has been widely used. From the principle of digital clock is a kind of typical digital circuits, including the assembly logic circuit and the sequential circuits. Therefore, the design and making of digital clock is to understand the principle, thus to make a digital clock. And through the digital clock make further understanding of used in the production of a variety of small and medium scale integrated circuit and practical method. And as the digital clock including combinational logic circuit and sequential circuit. Through its further study and master the various combinations of logic circuits and sequential circuits using the principle and method. Keywords: digital circuit; sequence circuit; mechanical 武汉工程大学计算机科学与工程学院 综合设计报告

目录

摘 要 ............................................................. 1 Abstract ........................................................... 2 第一章 课题背景和设计要求 ......................................... 3 1.1课题背景 ........................................................ 3 1.2设计要求和指标 .................................................. 3 第二章 开发工具和环境 ............................................. 4 2.1 开发环境简介 ................................................... 4 2.2 multisim软件工作界面简介 ....................................... 4 第三章 详细设计 ................................................... 6 3.1 数字时钟的功能和器材需求 ....................................... 6 3.2 数字时钟的详细设计 ............................................. 6 第四章 运行和测试 ................................................ 16 4.1校准电路 ....................................................... 16 4.2 整点报时 ...................................................... 16 4.3定时 ........................................................... 17 总 结 ............................................................ 19 致 谢 ............................................................ 20 参考文献 .......................................................... 21

武汉工程大学计算机科学与工程学院 综合设计报告

摘 要

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解在制作中用到的各种中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时序电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

关键字:数字电路;时序电路;机械式

1

武汉工程大学计算机科学与工程学院 综合设计报告

Abstract

Digital clock is a digital circuit technology, minutes and seconds, timing devices, and mechanical clock, compared with higher accuracy and intuitive, and no mechanical devices, with more longer life, so it has been widely used.

From the principle of digital clock is a kind of typical digital circuits, including the assembly logic circuit and the sequential circuits.

Therefore, the design and making of digital clock is to understand the principle, thus to make a digital clock. And through the digital clock make further understanding of used in the production of a variety of small and medium scale integrated circuit and practical method. And as the digital clock including combinational logic circuit and sequential circuit. Through its further study and master the various combinations of logic circuits and sequential circuits using the principle and method.

Keywords: digital circuit; sequence circuit; mechanical

2

武汉工程大学计算机科学与工程学院 综合设计报告

第一章 课题背景和设计要求

1.1课题背景

电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。

多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。

1.2设计要求和指标

1.2.1设计要求

(1)由晶振电路产生1HZ标准秒信号。 (2)秒、分为00-59六十进制计数器。 (3)时为00-23二十四进制计数器。

(4)可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。

(5)整点报时。整点报时电路要求在每个整点时灯泡亮一分钟。 (6)定点报时。当设定的时间到时,灯泡亮一下。

1.2.2元器件

(1)74290,74LS47,数码显示器BS202各6片。 (2)74LS153 2片,74LS373 3片,555定时器 1片。

(3)电阻若干,电容,开关若干个,probe灯泡2个,导线若干。

3

武汉工程大学计算机科学与工程学院 综合设计报告

第二章 开发工具和环境

2.1 开发环境简介

NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。

2.2 multisim软件工作界面简介

图2-1 multisim工作界面

界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。

菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

4

武汉工程大学计算机科学与工程学院 综合设计报告

2.2.1 multisim工具栏

Multisim 2001提供了多种工具栏,并以层次化的模式加以管理,用户可以通过View菜单中的选项方便地将顶层的工具栏打开或关闭,再通过顶层工具栏中的按钮来管理和控制下层的工具栏。通过工具栏,用户可以方便直接地使用软件的各项功能。

顶层的工具栏有:Standard工具栏、Design工具栏、Zoom工具栏,Simulation工具栏。

⒈Standard工具栏包含了常见的文件操作和编辑操作,如下图所示: ⒉Design工具栏作为设计工具栏是Multisim的核心工具栏,通过对该工作栏按钮的操作可以完成对电路从设计到分析的全部工作,其中的按钮可以直接开关下层的工具栏:Component中的Multisim Master工具栏,Instrument工具栏。

⑴作为元器件(Component)工具栏中的一项,可以在Design工具栏中通过按钮来开关Multisim Master工具栏。该工具栏有14个按钮,每个每一个按钮都对应一类元器件,其分类方式和Multisim元器件数据库中的分类相对应,通过按钮上图标就可大致清楚该类元器件的类型。具体的内容可以从Multisim的在线文档中获取。

这个工具栏作为元器件的顶层工具栏,每一个按钮又可以开关下层的工具栏,下层工具栏是对该类元器件更细致的分类工具栏。以第一个按钮 为例。通过这个按钮可以开关电源和信号源类的Sources工具栏如下图所示:

⑵Instruments工具栏集中了Multisim为用户提供的所有虚拟仪器仪表,用户可以通过按钮选择自己需要的仪器对电路进行观测。

⒊用户可以通过Zoom工具栏方便地调整所编辑电路的视图大小。 ⒋Simulation工具栏可以控制电路仿真的开始、结束和暂停。

5

武汉工程大学计算机科学与工程学院 综合设计报告

第三章 详细设计

3.1 数字时钟的功能和器材需求

功能的需求如下:

能够有计时的基本功能,从00:00:00到23:59:59的每一个时间(以秒为最小单位计时)都能表示出来,而且计时的时间间隔也要的实际的一秒相等

除了时钟的基本功能外,还必须要有一些扩展的功能,例如需要定时的功能,整点报时的功能,这也是一些基本的电子钟的应该有的功能

当电子钟的时间不正确的时候还要具有矫正时间的功能 器材的需求如下:

由于使用的计时的功能我选用的是74ls90 2/5计数器 有时、分、秒需要两片一共需要6片,要显示时间就必须要有数码管7SEG-BCD 6个要想驱动这些数码管必须要译码芯片74ls47 6片;

使用了定时的功能就需要将数据输入其中,并且要将数据保存起来,以便定时器响应,这就需要使用锁存器74ls373芯片2片(考需要没有必要将时间定时精确到秒只需要到分钟就可以了所以使用了2片);

要想校正时钟肯定要将输入脉冲切换到手动的脉冲,这就需要数据选择器74ls153四选一的数据选择器。

除了以上的器件之外还有一些必备的与门和同或门。

3.2 数字时钟的详细设计

3.2.1 计数器部分

在计数的部分使用的是74290芯片,74290的功能表如下图2-1它的A引脚是脉冲输入端,然后引脚QA是输出端是一个二进制计数器,而B输入引脚则是一个5进制的计数器,它的输出是QB,QC,QD三个输出,要实现十进制只需要将QA输出接到B引脚的位置就可以实现十进制计数器。

要实现时钟技术就是一个60进制的和一个24进制的部分,其中60进制是两个使部分级联实现的是一个十进制的和一个六进制的部分级联,十进制的是上

6

武汉工程大学计算机科学与工程学院 综合设计报告

面说过直接使用90芯片而他的进位信号是当计数器从9到1的时候要进位而且74ls90是下降沿触发的跳变而只有8和9的第4位为1而0的第4位为0所以可以直接使用第4位作为进位信号。六进制的计数器是当74ls90芯片到了6的时候就让它置0(让R0(1)和R0(2)引脚都为高电平下如图2-2是74ls90的功能图 而且这个置位的的时间Tw最大是只需要50ns而这个高电平会持续最少1s所以这个6不会显示出来基本就是显示0)而6的二进制位0110所以只需要第二三位取与操作就可以作为清零信号同时也为进位信号。所以六十进制的原理图如下图3-1

(1)74290引脚图与功能表如下:

图3-1 74290引脚图

表3-1 74290功能表

(2)74Ls153引脚与功能表如下:

7

武汉工程大学计算机科学与工程学院 综合设计报告

图3-2 74Ls153引脚图

表3-2 74Ls153功能表

74153里面有两个地址码共用的4选1数据选择器。通过输入不同的地址码A1,A0,可以控制输出Y选择4个输入数据D0~D3中的一个。(3)74LS47是BCD-7段数码管译码器/驱动器,74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码,可以直接把数字转换为数码管的显示数字,从而简化了程序,节约了单片机的IO开销。因此是一个非常好的芯片!但是由于目前从节约成本的角度考虑,此类芯片已较少用,大部份情况下都是用动态扫描数码管的形式来实现数码管显示。

译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是

8

武汉工程大学计算机科学与工程学院 综合设计报告

输出低电平有效的七段字形译码器,它在这里与数码管配合使用。

(3)74ls373是常用的地址锁存器芯片,它实质是一个是带三态缓冲输出的8D触发器,在单片机系统中为了扩展外部存储器,通常需要一块74ls373芯片.锁存端LE 由高变低时,输出端8 位信息被锁存,直到LE 端再次有效。 当三态门使能信号OE为低电平时,三态门导通,允许Q0~Q7输出,OE为高电平时,输出悬空。当74LS373用作地址锁存器时,应使OE为低电平,此时锁存使能端C为高电平时,输出Q0~Q7 状态与输入端D1~D7状态相同;当C发生负的跳变时,输入端D0~D7 数据锁入Q0~Q7。51单片机的ALE信号可以直接与74LS373的C连接。

图3-3 六十进制 原理图

小时计数器是一个“23翻0”的特殊计数器,即当数字钟运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲,数字钟自动显示为00时00分00秒。通过两个十进制计数器的级联构成一个一百进制的计数器。然后通过输出控制可得到二十四进制计数器。把第一个计数器的Qb和第二个计数器的Qc连接到两个置零度端,并且两个芯片的置零度端连接,这样计数器到二十四时计数器就清零,就得到二十四进制计数器

对于24进制的是有一个十进制的计数器(做位个位)和一个类似于三进制(做为十位)的级联组成,当整个计数器的个位显示4而十位显示2的时候强制使得个位和十位同时清零这样就可以保证这个整体组成24进制的计数器。个位的十进制和上面的60进制的是一样的,十位只需要计数0,1,2就可以所以只需

9

武汉工程大学计算机科学与工程学院 综合设计报告

要使用74ls90的5进制的那一块,并且将十位的Qc和个位的Qc求与后将输出接到个位和十位的74ls90芯片的R0(1),R0(2)清零端。实际的连接如下图3-4二十四进制的原理图:

图3-4二十四进制的原理图

将60进制的秒作为60十进制的分的脉冲信号,将60进制的分作为24进制的时的进位信号。如下图所示:

图3-5 时分秒的整体电路图

10

武汉工程大学计算机科学与工程学院 综合设计报告

3.2.2数字时钟的扩展电路部分

扩展电路部分主要实现的功能有以下的部分; 时钟时间的矫正 时钟定时的功能 整点报时功能

时间校正的电路主要的思想是将原来的输入的脉冲信号切换成手动的信号或者是使用更快的自动脉冲。这个切换的方式是使用数据分配器74ls153来实现。原理图如下图2-5 校正电路 SWMODE11 和SWMODE12是控制使用输入信号的选择具体功能如下表所示 而走时的使能信号如下表所示

表3-3 分秒时校时的模式选择

SWMODE11 0 0 SWMODE12 0 1

作用 正常的走时模式 秒分时手动脉冲校时模式

图3-6 校正电路

定时器所使用的基本原理就是先将所要定的时间用一个锁存器保存起来,然

11

武汉工程大学计算机科学与工程学院 综合设计报告

后每次都将这保存的信号与时钟现在的时间相与然后看结果是不是为零如果为零的话那么就启动闹铃,由于定时没必要将时间设置精确到秒所以只需要将时与分保存下来即可,而刚好闹铃会一直响到下一分钟然后停止。锁存器使用的74ls373比较实用的是两种方式一种是直接使用七个同或门然后将输出的数据使用一个八位输入与非门将七个同或输出作为输入然后输出就是结果;第二种就是直接使用八位比较器来进行比较如下图3-7。我采用的是使用同或门方式做分的定时使用比较器做时的定时,然后将分和时的定时输出作异或运算,当时和分同时有效的时候就将启动闹铃原理图如下图所示(由于直接使用输出的信号作为闹铃的电源会拉低芯片的电压,甚至不能驱动闹铃,所以使用一个三极管将输出信号作为“开关”)。

图3-7 使用异或门加与非门的定时器

图3-8 闹铃的原理图

使用锁存器的控制引脚来确定是输入分还是时的定时时间74ls373的功能

12

武汉工程大学计算机科学与工程学院 综合设计报告

表如下表2-3 将锁存器的控制引脚交叉相接,并接到按键输入。

表3-4 锁存器功能图

button1 0 0 1 1 button2 0 1 0 1 效果 正常模式 分定时输入模式 时定时输入模式 定时器禁止

整点报时的原理是,使用几个或非门,当中间分钟都为00时,则刚好到整点,则灯泡亮一分钟,如下图所示。

图3-9 整点报时原理图

3.2.3 震荡器电路

震荡器电路是数字钟的核心,主要用来产生时间标准信号,数字钟的精度,主要取决于时间标准信号的频率及稳定度。一般来说,震荡器的频率越高,计时精度越高。通常采用石英晶体震荡器经过分频得到这一信号,也可采用由门电路或555定时器构成的多谐震荡器作为时间标准信号源。

本设计方案采用的是集成电路定时器555与RC组成的多谐震荡器,如下图所示:

13

武汉工程大学计算机科学与工程学院 综合设计报告

图3-10 多谐震荡器电路图

接通电源后,电容C1被充电,Vc1上升,当Vc1上升到2/3Vcc时,触发器被复位,同时放电BJTT导通,此时Vo为低电平,电容C1通过R和T放电,使Vc1下降。当Vc1下降到1/3Vcc时,触发器又被复位,Vo翻转为高电平,电容C1放电所需要的时间为:

t1=RC1ln2=0.7R1C1

当C1放电结束是,T截止,Vcc将通过R1,R2向电容器C1冲电,Vcc由1/3Vcc 上升到2/3Vcc所需要的时间为:

t2=(R1+R2)C1ln2=0.7(2R1+R2)C1

当Vc上升到2/3Vcc是,触发器又发生翻转。如此周而复始,在输出端就得到一个周期性的方波,其频率为:

f=1/(t1+t2)=1.43/(2R1+R2)C1 这里调整R1,R2,C,使震荡频率f=1Hz。

3.2.4 译码显示器电路

译码和数码显示电路是将数字钟和计时状态直观清晰地放映出来,被人们的视觉器官所接受,它的任务就是将计数器输出的8421BCD码译成数码器显示所需

要的高低电平。这里所选用的译码器就是常用的BCD译码/CD4511。其中A1、A2、A3、A4与计数器的四个输出端按设计要求相连或接地,a、b、c、d、

14

武汉工程大学计算机科学与工程学院 综合设计报告

e、f、g则与七段数码显示器对应端相连。

图3-11译码显示器电路

3.2.5整体的电路

加上上面的计时电路和扩展电路部分整体的连接如下图所示:

图3-12 整体电路

15

武汉工程大学计算机科学与工程学院 综合设计报告

第四章 运行和测试

4.1校准电路(时间是从右向左看)

校准时间具体选择是选择秒校准使能,分校准禁止而且将输入信号变为手动信号,然后输入手动脉冲或者是加快的脉冲是如下校准之前时间是如图4-1。校准之后是如下图4-2校准是并不会产生进位因为将分的进位信号禁止掉了。

图4-1 秒校准之前

图4-2 秒校准之后

4.2 整点报时

整点报时使用LED灯代替扬声器这样可以看得更明显显示如图4-3 是 整点报时效果灯亮。

图4-3 整点

16

武汉工程大学计算机科学与工程学院 综合设计报告

4.3定时

这里定时器是事先设定的时间,如下图4-4设定时间00:01(左边Q0Q1Q2Q3是分的个位的二进制表示,Q4Q5Q6是分十位的二进制右边同理表示时的个位和十位)然后当时间大了00:02的时候如下图4-5。这是定时器响了如下图4-7

图4-4定时输入

图4-5 定时到达

17

武汉工程大学计算机科学与工程学院 综合设计报告

图4-6 定时器连接图

图4-7 定时激活灯泡亮起局部电路图

18

武汉工程大学计算机科学与工程学院 综合设计报告

总 结

电子技术课程设计”是电子技术课程的实践性教学环节,是对我学习电子技术的综合性训练。 我做的是数字钟的设计,然而,要完成一个课题的设计要涉及到许多方面的知识。通过上网查询和查阅相关书籍资料,让我知道了大量关于数字钟设计的知识,同时又重新将从前学过的知识复习了一遍,做到对各个集成块的引脚功能和工作原理都很清晰。从而让我更深一步掌握了时序逻辑电路的功能,学会了做课程设计的一般步骤。

首先我制定出自己的设计方案,其次详细设计每一部分的电路,最后再根据原理方框图连接电路。这不仅培养了我独立分析和解决实际问题的能力,同时也为以后的电路设计打好了基础。 当然,在整个课程设计中,我们也遇到了许多的难题。过程是艰辛的,但结果是令人兴奋的,看着自己设计的东西一分一秒的走着,心理觉得非常有成就感,这两个星期的努力并没有付诸东流。虽然实验已经告一段落,但是我们学习的道路还很长。

此次实验让我明白不论是在做实验还是在今后的学习中,都应该有一种坚定不移不达目的不罢休的信念,只有这样才能达到自己的最终目标!

19

武汉工程大学计算机科学与工程学院 综合设计报告

致 谢

在此次课程设计中,我遇到了不少的问题,特别是前期的总体思路构架不够完善,在我遇到困难时得到了陈艳老师的及时帮助,在这里我要感谢陈艳老师给予我的帮助。另外还要感谢我的同学,特别是我的室友同样给予了我很多的帮助,真心的谢谢你们!

同时还要感谢学院给我提供的硬件设施!

20

武汉工程大学计算机科学与工程学院 综合设计报告

参考文献

[1]林涛主编 《数字电子技术基础》 清华学出版社 2006.06 [2]姜书艳主编 《数字逻辑设计及应用》 清华大学出版社 2007.12 [3]荀殿栋 徐志军 《数字电路设计实用手册》 电子工业出版社 2003.07 [4]朱勇.数字逻辑.中国铁道出版社,2007

[5]武俊鹏.数字电路与可编程技术实验教程.哈尔滨工程大学出版社,2007 [6]许莉娅.数字电路与逻辑设计.北京理工大学出版社,2006

21

本文来源:https://www.bwwdw.com/article/iy25.html

Top