4位两级流水线加法器

更新时间:2023-11-05 15:48:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

4位两级流水线加法器

详细设计

姓名: 魏可望(23)

班级: 微电子1102

指导老师 杜慧敏

日期:2014年4月29日

1题目描述

题目要求设计一个串行进位加法器其,功能为使用流水的方式在当前位计算完成后送与下位计算。

2题目分析

使用流水的方式将每位数字相加分级执行,每位的计算送与下位,最终完成四位计算。

3模块设计

模块需要设计使能端控制时序并有上级与下级的进位端。

4程序设计

module adder4(cout,sum,a,b,cin,enable); input[3:0] a,b; input cin,enable; output[3:0] sum; output cout; reg cout; reg[3:0] sum;

reg[1:0] tempa,tempb,firsts; reg firstc;

always @ (posedage enable) begin

{firstec,firsts}=a[1:0]+b[1:0]+cin; tempa=a[3:2]; tempb=b[3:2]; end

always @ (posedge enable) begin

{cout,sum[3;2]}=tempa+tempb+firstc; sum[1;0]=firsts; end endmodule

本文来源:https://www.bwwdw.com/article/i882.html

Top