多种图案霓虹灯控制器设计综合课设

更新时间:2023-12-30 19:31:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

学 号: 0121111360719

课 程 设 计

题 目 学 院 专 业 班 级 姓 名 指导教师

多种图案霓虹灯控制器设计

自动化 自动化 自动化1103

黄诚 杨莉 李波

年 月 日

课程设计任务书

学生姓名: 黄诚 专业班级: 自动化1103班 指导教师: 杨莉 李波 工作单位: 自动化学院 题 目: 多种图案霓虹灯控制器设计

初始条件:

1. 运用所学的模拟电路和数字电路等知识;

2. 用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。

要求完成的主要任务:

1. 现有4只彩灯,红-绿-蓝-黄,试设计控制器,要求彩灯能实现如下追逐图

案,彩灯控制器的三种图案及其状态转换如下所示: 2. 摇摆状态0101←→1010,重复6次。

3. 暗点循环0111→1011→1101→1110→0111→这样重复循环3次。 4. 霓虹灯控制工作状态按照上述2至3步自动重复循环。时间间隔为1秒。 5. 严格按照课程设计说明书要求撰写课程设计说明书。

时间安排:

第1天 下达课程设计任务书,根据任务书查找资料;

第2~4天 进行方案论证,软件模拟仿真并确定设计方案; 第5天 提交电路图,经审查后领取元器件;

第6~8天 组装电路并调试,检查错误并提出问题;

第9~11天 结果分析整理,撰写课程设计报告,验收调试结果; 第12~14天 补充完成课程设计报告和答辩。

指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日

1

目 录

引言 1 1 设计意义及要求 ........................................................ 2

1.1 设计意义 ..................................... 2 1.2 设计要求 ..................................... 2

2 方案设计 .................................................................... 3

2.1 设计思路 ..................................... 3 2.2 方案设计 ..................................... 4

2.2.1设计方案一电路图 ..................................... 4 2.2.2设计方案二电路图 简单说明 ............................ 5

2.3 方案比较 ..................................... 5

3 部分电路设计 ............................................................ 5

3.1 暗点循环 ..................................... 5 3.2 摇摆状态 ..................................... 6 3.3 二十四进制计数器 ............................. 7 3.4 时钟脉冲信号的制作 ........................... 8 3.5两种状态的切换与叠加 .......................... 9

3.5.1两种状态的切换 ....................................... 9 3.5.2两种状态的叠加 ...................................... 10

4 调试与检测 ....................................... 11

4.1 调试中故障及解决办法 ....................... 11 4.2 调试与运行结果 11

5 仿真操作步骤及使用说明 .................. 12 结束语 ............................................................................................ 13 参考文献 ....................................................................................... 14 附录 电路图 ................................................................................ 15 本科生课程设计成绩评定表

1

引言

随着现代社会的不断发展,霓虹灯在我们的日常生活中随处可见。作为大学生,又学习了很多关于数字电路和模拟电路的专业知识,掌握霓虹灯的工作原理成了我们非常基本的一项技能,本次课程设计将对霓红灯图案的控制做一次探讨。

本文首先阐述了本次课程设计的设计要求,即小彩灯的工作状态。接着是对整体电路图的设计思路,以及各个单元电路的实现方法,主要是以74系列芯片,555芯片为基础,充分运用了数字电路和模拟电路等知识,完成多种霓虹灯的控制设计。

本人使用555定时器构成1Hz的脉冲信号发生器,用两片74LS90构成24进制计数器,用8选1数据选择器74HC151和双2线-4线译码器74LS139以及必要的门电路完成对彩灯图案的控制。

再然后就是在设计的过程中遇到的问题以及解决方法,这部分总结了在设计过程中遇到的种种问题,也是对我们的课程设计最大的考验。在文章的最后是仿真操作的步骤以及使用说明,阐述了电路最终达到的效果以及使用的方法。

1

武汉理工大学《电工电子综合课程设计》说明书

1.设计意义及要求

1.1 设计意义

在现在的生活中,霓虹灯随处可见,够掌握霓虹灯的原理,而且能够设计出自己想要的图案这已经成为大学生的一项基本素质,并且在设计的过程中可以很好的运用所学过的数电模电知识,是对我们所学习知识的一种很好的运用。

1.2 设计要求 初始条件:

1.运用所学的模拟电路和数字电路等知识;

2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。 要求完成的主要任务:

现有红黄蓝绿四只彩灯,运用所学的模拟电路和数字电路等知识,试设计彩灯控制器,要求彩灯能实现如下追逐图案,彩灯控制器的两种图案及其状态转换如下所示:

1、摇摆状态0101←→1010,重复6次;

2、暗点循环0111→1011→1101→1110→0111→这样重复循环3次。 3、霓虹灯控制工作状态按照上述两步自动重复循环。时间间隔为1秒。

2

武汉理工大学《电工电子综合课程设计》说明书

2.方案设计

2.1设计思路 <方案一>

首先设计出各部分的单元电路,一部分为我们的电路提供稳定的信号脉冲;另外设计彩灯的循环状态的单元电路包括以上暗点循环和摇摆两种状态。题目所要求的彩灯状态从大的方面来说有两种序列,一种是0101←→1010循环,另一种是0111→1011→1101→1110→0111循环。每一个状态维持1秒,两种序列完成一次循环需要的时间为2×6+4×3=24秒,则使用24进制计数单元来完成计数,计数器的脉冲信号用555定时器来组成多谐振荡器,振荡频率为1Hz。要实现这两种序列的循环,则使用数据选择器、译码器来完成。 两种序列所维持的时间都是12秒,24进制计数器的输出为5位二进制数,低2位为00→01→10→11循环,通过74LS139译码器后正好是0111→1011→1101→1110→0111的循环序列;将74LS139的输出通过合适的门电路则可以实现0101←→1010循环。故用两个74LS139译码器实现以上两个序列的变化。24进制计数器的高3位则有六种状态,为000→001→010→011→100→101,将高3位接一片74HC151数据选择器,从而控制两个74LS139译码器的使能信号,完成两种循环序列的转换。本方案原理框图如图所示。

3

武汉理工大学《电工电子综合课程设计》说明书

<方案二>

经过小组成员的积极讨论,大家一致认为本报告的方案一较为简单,所用的芯片都容易获取,所以决定把方案一作为小组方案,所以在此就不赘述了。

2.2 方案设计

2.2.1设计方案一电路图

首先,先用555定时器制作一个1HZ的脉冲信号,为电路提供f=1HZ的信号脉冲。 然后,设计分别实现两种循环状态的电路图,摇摆状态与暗点循环。暗点循环电路可以通过译码器来实现,因为彩灯共有四个,用74ls139二线四线译码器即可,可以通过74ls90二-五十进制计数器构成的四进制计数器来为译码器提供信号,因为译码器是低电平输出有效,故电路呈现出暗点循环。摇摆电路与暗点循环电路类似,可以用74ls90构成的四进制计数器和二线四线译码器来实现,由于139是低电平输出有效,可以把输出按一定规律输入两个与门,实现两个与门的输出的摇摆状态,再接到1,3和2,4个小彩灯上,最终实现摇摆电路。

最后,经过分析和计算将两个139译码器的输出端分别通过与门与发光二级管相连,最终实现了摇摆状态和暗点循环状态两种状态循环进行的霓虹灯控制电路。

4

武汉理工大学《电工电子综合课程设计》说明书

图2.2

5

武汉理工大学《电工电子综合课程设计》说明书

2.2.2设计方案二电路图

2.3 方案比较

3.部分电路设计

3.1 暗点循环电路

图3.1

说明:先用一个74ls90制作一个四进制的计数器,然后把Q0和Q1分别接到74ls139的AB输入端,通过计数器和译码器实现暗点循环电路。

74ls90引脚图及功能表:

图3.2

6

武汉理工大学《电工电子综合课程设计》说明书

图3.3

3.2 摇摆状态

图3.4

说明:如上图,用74ls90制作一个四进制计数器,将Q0、Q1分别接A、B,通过74LS139输出,然后将Y0、Y2和Y1、Y3分别接入两个与门后输出,在分别将其输出接到D1、D3和D2、D4,在脉冲信号作用下,因为139芯片的四个输出端一次出现低电平,故两个与门的输出呈现摇摆状态,再将两个输出端分别接到D1,D3和D2,D4所以彩灯呈现出摇摆状态。

7

武汉理工大学《电工电子综合课程设计》说明书

3.3 二十四进制计数器

24进制计数器由两片74LS90组成,其连接方式如图所示。74LS90是一种2-5-10进制计数器,在时钟信号下降沿触发,图3-3是其引脚图,表3-1是其功能表。

将74LS90的CKB和Q0两个管脚相连则构成了异步10进制计数器,CP为输入的时钟信号。 U1为低位,Q1Q0为有效输出。当U1计数时,其输出Q3Q2Q1Q0完成0000→0001→0010→0011的计数,随后变为0100,Q2输出1反馈到R0(0)和R0(9),使输出迅速置为0000,即完成反馈清零。与此同时,Q1由1变为0,形成下降的时钟信号,从而触发U2计数。U2为高位,Q2Q1Q0为有效输出。U2的工作原理与U1类似,只是在输出从0101变为0110后迅速对自身进行清零。

图3.5

8

武汉理工大学《电工电子综合课程设计》说明书

图3.6

3.4脉冲信号单元

图3.7

使用555定时器和一些电容、电阻组成多谐振荡器,产生频率为1Hz的矩形波,电路如图3-1所示。其频率

故选择R1=R2=10KΩ,C2=47uF(这种参数的

电阻和电容都比较常见),计算得f=1.014Hz。选择C1=0.01uF,其作用是增强电路对外界的抗干扰能力。

9

武汉理工大学《电工电子综合课程设计》说明书

3.5两种状态的切换与叠加

3.5.1两种状态的切换

摇摆状态与暗点循环各使用了一个译码器,如果两个译码器同时工作,可会造成电路混乱。因此,必须借助额外的电路来控制两个译码器的工作顺序。

在本方案中,两种图案的实现,都只用到了24进制计数器的低2位,即U1的Q1Q0 来控制译码器输出,因此,可以使用24进制计数器的高3位,即U2的Q2Q1Q0来控制两个译码器的工作顺序。

在24进制的前12次计数中,高3位的状态依次为000、001、010;后12次计数中,高3位的状态依次为011、100、101。可以考虑使用逻辑门电路来控制两个译码器的使能端,当高3位输出为000、001、010时,控制摇摆状态的译码器使能,控制暗点循环的译码器停止工作;当高3位输出为011、100、101时,状态翻转,即可实现两张状态的切换。也可使用74HC151数据选择器,设计思路将更加简单。

图3.8

10

武汉理工大学《电工电子综合课程设计》说明书

3.5.2两种状态的叠加

图3.9

由于4盏彩灯的点亮方式是由两个译码器控制的,并且它们与彩灯的电路连接方式也不同,在解决了两种状态切换的问题后,还需要解决两个译码器与4盏彩灯的电路连接问题。 考虑到译码器处于非使能状态时,其输出均为高电平,故可以使用与门来实现该接口问题。将两个译码器应该与D0相连的输出端接上一个2输入与门后再与D0相连,类似地,其它端口也按这种方法连接,电路连接如图3-9所示。

当电路工作时,使能的译码器输出相应的循环序列,而非使能的译码器则输出1111,两个译码器的信号进行与运算后依然是使能译码器的输出序列,从而实现了两个译码器共同控制4盏彩灯的功能。

11

武汉理工大学《电工电子综合课程设计》说明书

4、调试与检测

4.1 调试中故障及解决办法

在调试过程中,电路也曾出现了一些问题。

555芯片上未连接VCC使得电路没有发生振荡现象,输出结果为0101保持不变。

图4.1

解决方法:在555芯片引脚8处接VCC,电路实现振荡。

图4.2

4.2 调试与运行结果

打开软件后,点击“调试”下的“开始\\重启动调试”,或者直接按“Ctrl+F12”,电路就开始调试,可以发现灯开始闪烁。

运行结果:首先,开始运行时,从左往右数第二盏和第四盏同时点亮,第一盏灯和第三盏灯灭,1秒后,第一盏灯和第三盏灯同时点亮,第二盏和第四盏同时熄灭,此过程重复了6次,时间12秒;然后,左边第一盏灯熄灭,其余三盏灯点亮,过1秒后,左边第

12

武汉理工大学《电工电子综合课程设计》说明书

二盏灯熄灭,其余三盏灯点亮,依次到第四盏灯熄灭,其余三盏灯点亮,此过程重复3次,时间12秒。此后,四盏灯便按上述步骤所述自动重复循环,周期为24秒。

5、仿真操作步骤及使用说明

点击仿真运行按键后,四盏灯将会按照下面的顺序进行亮灭:1为亮,0暗) 摇摆状态:0101,1010,0101,1010,0101,1010,0101,1010,0101,1010,0101,1010 暗点循环:0111,1011,1101,1110,0111,1011,1101,1110,0111,1011,1101,1110随后,四盏灯按上述状态依次重复运行,周期为24秒。

图5.1

图5.2

13

武汉理工大学《电工电子综合课程设计》说明书

结束语

通过将近两周的课程设计,我觉得自己的收获主要是以下的几个方面:首先这次的课程设计让我学习了软件的应用,这次我学会使用protues电路仿真。另外通过这次的课程设计让我巩固了以前学过的数字电路以及模拟电路的知识,把理论知识结合到实际操作中去,认识了解了很多芯片的功能。这次的课程设计老师还提供了很多的元器件,我们都可以亲手的完成自己的设计,通过这次动手能力的训练,我们同时也得到了很好的训练的机会,对于提升我们的动手能力也有很大的帮助。最后这次的课程设计不仅仅是我们个人的设计,还包括小组的方案的最终定论,通过这次的机会,我们能够更多的和同学接触,与同学讨论共同遇见的一些问题,并合作解决,所以课程设计不仅仅是对我们所学习知识以及动手能力的一种考验,更多的还是对我们团队协作的一种考验,增强了我们团队协作的能力。

通过这次的课程设计训练,我学习到很多课本以外的知识,收获颇丰。我以后会更加努力的学习专业知识,并在今后的操作实验中体会乐趣。

14

武汉理工大学《电工电子综合课程设计》说明书

参考文献

[1] 康华光.电子技术基础-数字部分(第五版),高等教育出版,2006.1 [2] 王兰君.新编电工使用电路500例,河南科学技术出版社 [3] 王源.使用电路基础,机械工业出版社

[4] 祁存荣,陈伟.电子技术基础实验(数字部分) 武汉理工大学教材中心 [5] 张葛祥.MATLAB仿真技术与应用.北京:清华大学大学出版社,2003 [6] 王兴亮主编.现代音响和调音技术.西安电子科技大学出版,2006 [7] 陈永甫主编.数字电路基础及快速识图.人民邮电出版社,2006.5 [8] 刘修文主编.实用电子电路设计制作300例.中国电力出版社,2005

15

附录 电路图

本科生课程设计成绩评定表

姓 名 专业、班级 黄诚 自动化专业自动化1103班 性 别 男 课程设计题目:多种图案霓虹灯控制器设计 课程设计答辩或质疑记录: 1:74ls151在电路中的作用? 74ls151数据选择器的输出分别接74ls139的使能端,控制译码器的工作状态,实现两种状态的转换。 2:74ls90的功能以及在电路中实现了多少进制的计数器? 74ls90是一种2-5-10进制计数器,在时钟信号下降沿触发,在电路中构成4*6的24进制计数器。 成绩评定依据: 设计方案 与内容 (30分) 制作与调试 (20分) 说明书内容与规范程度 (30分) 答 辩 (10分) 学习态度 与考勤 (10分) 总 分 (100分) 最终评定成绩(以优、良、中、及格、不及格评定)

指导教师签字:

年 月 日

本文来源:https://www.bwwdw.com/article/hr1x.html

Top