EDA(FPGA)期末考试试题汇总

更新时间:2023-09-17 19:10:01 阅读量: 幼儿教育 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

这是长期总结的EDA期末考试试题

试题一

1-2与软件描述语言相比,VHDL有什么特点? P6

答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5

什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型?

答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10

答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16

答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么?

答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么?

答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。

3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36

答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的?

答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40

答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41

答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56

答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。

4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS

PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC;

outy:OUT STD_LOGIC);--输出端 END ENTITY;

ARCHITECTURE ONE OF MUX221 IS SIGNAL tmp : STD_LOGIC; BEGIN

PR01:PROCESS(s0)

1

BEGIN

IF s0=”0” THEN tmp<=a2; ELSE tmp<=a3; END IF;

END PROCESS; PR02:PROCESS(s1) BEGIN

IF s1=”0” THEN outy<=a1; ELSE outy<=tmp; END IF;

END PROCESS;

END ARCHITECTURE ONE; END CASE;

4-4.下图是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY MULTI IS

PORT(CL:IN STD_LOGIC; --输入选择信号 CLK0:IN STD_LOGIC; --输入信号 OUT1:OUT STD_LOGIC);--输出端 END ENTITY;

ARCHITECTURE ONE OF MULTI IS SIGNAL Q : STD_LOGIC; BEGIN

PR01: PROCESS(CLK0) BEGIN

IF CLK ‘EVENT AND CLK=’1’ THEN Q<=NOT(CL OR Q);ELSE END IF;

END PROCESS;

PR02: PROCESS(CLK0) BEGIN OUT1<=Q; END PROCESS;

END ARCHITECTURE ONE; END PROCESS;

4-5.给出1位全减器的VHDL描述。要求:

(1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。

(2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x – y - sun_in = diffr) 底层文件1:or2a.VHD实现或门操作 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY or2a IS

PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC); END ENTITY or2a;

ARCHITECTURE one OF or2a IS BEGIN

c <= a OR b;

END ARCHITECTURE one;

底层文件2:h_subber.VHD实现一位半减器 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY h_subber IS PORT(x,y:IN STD_LOGIC;

diff,s_out::OUT STD_LOGIC); END ENTITY h_subber;

ARCHITECTURE ONE OF h_subber IS

SIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN

xyz <= x & y; PROCESS(xyz)

2

BEGIN

CASE xyz IS

WHEN \WHEN \WHEN \WHEN \WHEN OTHERS => NULL; END CASE; END PROCESS;

END ARCHITECTURE ONE;

顶层文件:f_subber.VHD实现一位全减器 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY f_subber IS

PORT(x,y,sub_in:IN STD_LOGIC; diffr,sub_out:OUT STD_LOGIC); END ENTITY f_subber;

ARCHITECTURE ONE OF f_subber IS COMPONENT h_subber PORT(x,y:IN STD_LOGIC; diff,S_out:OUT STD_LOGIC); END COMPONENT; COMPONENT or2a

PORT(a,b:IN STD_LOGIC; c:OUT STD_LOGIC); END COMPONENT;

SIGNAL d,e,f: STD_LOGIC; BEGIN

u1:h_subber PORT MAP(x=>x,y=>y,diff=>d,s_out=>e);

u2:h_subber PORT MAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f); u3:or2a PORT MAP(a=>f,b=>e,c=>sub_out); END ARCHITECTURE ONE; END ARCHITECTURE ART;

4-6.根据下图,写出顶层文件MX3256.VHD的VHDL设计文件。 MAX3256顶层文件 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY MAX3256 IS

PORT (INA,INB,INCK: IN STD_LOGIC;INC: IN STD_LOGIC; E,OUT:OUT STD_LOGIC); END ENTITY MAX3256;

ARCHITECTURE ONE OF MAX3256 IS COMPONENT LK35 --调用LK35声明语句 PORT(A1,A2:IN STD_LOGIC;CLK:IN STD_LOGIC;Q1,Q2:OUT STD_LOGIC); END COMPONENT;

COMPONENT D --调用D触发器声明语句

PORT(D,C:IN STD_LOGIC;CLK:IN STD_LOGIC;Q:OUT STD_LOGIC); END COMPONENT;

COMPONENT MUX21--调用二选一选择器声明语句

PORT(B,A:IN STD_LOGIC;S:IN STD_LOGIC;C:OUT STD_LOGIC); END COMPONENT;

SIGNAL AA,BB,CC,DD: STD_LOGIC; BEGIN

u1: LK35 PORT MAP(A1=>INA,A2=>INB,CLK=INCK, Q1=>AA,Q2=>BB); u2: D PORT MAP(D=>BB;CLK=>INCK,C=>INC,Q=>CC);

u3:LK35 PORT MAP (A1=>BB,A2=>CC,CLK=INCK, Q1=>DD,Q2=>OUT1); u4: MUX21 PORT MAP (B=>AA,A=>DD,S=>BB,C=>E); END ARCHITECTURE ONE;

4-7含有异步清零和计数使能的16位二进制加减可控计数器。 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT16 IS

3

PORT(CLK,RST,EN:IN STD_LOGIC; CHOOSE:IN BIT;

SETDATA:BUFFER INTEGER RANCE 65535 DOWNTO 0; COUT: BUFFER INTEGER RANCE 65535 DOWNTO 0); END CNT16;

ARCHITECTURE ONE OF CNT16 IS BEGIN

PROCESS(CLK,RST,SDATA)

VARIABLE QI:STD_LOGIC_VECTOR(65535 DOWNTO 0); BEGIN

IF RST='1' THEN --计数器异步复位 QI:=(OTHERS=>'0'); ELSIF SET=’1’ THEN--计数器一步置位 QI:=SETDATA;

ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿 IF EN=’1’ THEN –检测是否允许计数 IF CHOOSE=’1’ THEN --选择加法计数

QI:=QI+1; --计数器加一 ELSE QI=QI-1; --计数器加一 END IF;

COUT<=QI;--将计数值向端口输出 END PROCESS; END ONE;

6-4 说明信号和变量的功能特点,以及应用上的异同点。

答:变量:变量是一个局部量,只能在进程和子程序中使用。变量不能将信息带出对它做出定义的当前结构。变量的赋值是一种理想化的数据传输,是立即发生的,不存在任何延时行为。变量的主要作用是在进程中作为临时的数据存储单元。

信号:信号是描述硬件系统的基本数据对象,其性质类似于连接线;可作为设计实体中并行语句模块间的信息交流通道。信号不但可以容纳当前值,也可以保持历史值;与触发器的记忆功能有很好的对应关系。 6-7 什么是重载函数?重载算符有何用处?如何调用重载算符函数? 答:(1)根据操作对象变换处理功能。

(2)用于两个不同类型的操作数据自动转换成同种数据类型,并进行运算处理。 (3)如何调用重载算符函数?采用隐式方式调用,无需事先声明。 6-8 判断下面三个程序中是否有错误,指出错误并给出完整程序。 程序1:

Signal A,EN : std_logic; ………………… Process(A, EN)

Variable B: std_log ic; Begin

if EN=l then B<=A; end if; --将“B<=A”改成“B:=A” end process; 程序2:

Architecture one of sample is variable a,b,c:integer; begin

c<=a+b; --将“c<=a+b”改成“c:=a+b” end; 程序3:

library ieee;

use ieee.std_logic_1164.all; entity mux21 is

PORT(a,b:in std_logic; sel:in std_loglc;c:out std_logle;); --将“;)”改成“)” end sam2; --将“sam2”改成“entity mux21” architecture one of mux2l is begin

--增加“process(a,b,sel) begin”

if sel= '0' then c:=a; else c:=b; end if; --应改成“if sel= '0' then c<=a; else c<=b; end if;” --增加“end process;”

end two; --将“two”改成“architecture one”

74LS160的程序 library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity jishuqi is

4

port(clk,clr,p,t,load :in std_logic; y :out std_logic; led :buffer std_logic;

d :in std_logic_vector(3 downto 0); q :buffer std_logic_vector(3 downto 0); hex :out std_logic_vector(6 downto 0)); end jishuqi;

architecture behav of jishuqi is signal count2: integer range 0 to 9; signal hz : integer range 0 to 1; begin

process(clk)

variable count: integer range 0 to 25000000; begin

if (clk='1'and clk'event)then count:=count+1; if (count=12500000) then hz<=1;y<='1'; elsif (count=25000000) then hz<=0;y<='0'; count:=0; end if; end if; end process;

---------------------------------------- process(clr,hz,p,t,d,load,q) begin

if clr='0'then q<=\ else

if hz=1 and hz'event then if load='0' then q<=d; elsif load='1' then if p='1' then if t='1' then

if q=\ else q<=q+1 end if;

end process; process(p,t,d,q) begin

if p='0' then q<=q; elsif t='0' then q<=q; end if;

end process;

---------------------------------------- process(q) begin

case q is

when \ when \ when \ when \ when \ when \ when \ when \ when \ when \ when others=>count2<=null; end case; end process;

------------------------------------------ process(count2) begin

case count2 is

when 0=>hex<=\ when 1=>hex<=\

5

本文来源:https://www.bwwdw.com/article/h3wh.html

Top