传感器的毕业设计

更新时间:2024-01-19 16:44:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

中北大学2009届毕业设计说明书

1 引言

1.1 课题的研究背景及意义

振动测试近十多年来发展非常迅速,受到了国内外专家和工业、农业、国防各部门科技工作者的重视。近代工程技术的飞跃发展,特别是航空航天、海洋工程、电力、化工等技术的发展,必须对振动进行预测、采集、并实时处理在运行过程中的载荷数据的响应数据,对大型结构系统进行振动和噪声分析,对可能产生的过大振动事先加以避免或进行控制以确保安全、经济合理。因此,振动测试包括振动、冲击、波动、噪声和各种动态技术(包括试验技术、测试分析技术、计算技术、信号和信息处理技术、自动控制技术、检测和故障诊断技术以及试验设备和材料动力性能等方面)的研究,已越来越在国民经济中发挥重要作用[1]。

振动测量的意义主要有以下两个方面:

(1)预防事故、保证人身和设备的安全。预防事故,保证人身和设备的安全是开展设备诊断工作的直接目的和基本任务之一。我们知道,一些设备,特别是流程大型设备一旦发生故障将会引起链锁反应,造成巨大的经济损失,甚至灾难性的后果。因此,为了避免设备事故,保障人身和设备的安全,应当积极发展设备振动诊断。

(2)提高经济效益:开展设备诊断所带来的经济效益包括减少可能发生的事故损失和延长检修周期所节约的维修费用,国外一些调查资料显示,开展设备诊断可带来可观的经济效益。英国曾对2000个工厂作过调查,结果表明,采用设备诊断技术后维修费用每年节约3亿英镑,除去诊断技术的费用0.5亿英镑,净获利2.5亿英镑。在我国的大型电厂,若出现故障其停机一天造成的损失就达一百多万元。因此对设备故障进行有效的诊断有着明显的经济效益。

随着电子技术和计算机技术的快速发展,微型计算机技术,尤其是微控制器(单片机)的发展极为迅速,其应用越来越广。单片机主要应用于控制领域,用以实现各种测试和控制功能。目前,单片机还广泛应用于工业测控、计算机外围设备、工业智能化仪表、生产过程的自动控制、军事和航空航天等领域。

AT89C51是美国ATMEL公司生产的低电压,高性能CMOS8位单片机,片

第 1 页 共 38 页

中北大学2009届毕业设计说明书

内含4k bytes的可反复擦写的只读程序存储器(PEROM)和128 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产, 兼容标准MCS-51指令系统,片内置通用8位中央处理器(CPU)和Flash存储单元,功能强大AT89C51单片机可为您提供许多高性价比的应用场合,可灵活应用于各种控制领域[2]。

而基于 MEMS微加速度计的振动测试系统具有尺寸小、功耗低 、灵敏度高、使用灵活等优点。因而在振动测、试倾斜测试惯性导航、智能引信等方面被广泛使用。在不远的将来随着计算机技术和软件技术的快速发展振动测试系统会在越来越多的行业得到更广泛的应用。 1.2 振动检测技术的研究现状

到目前为止,振动数据分析仪器的发展大体可分为四代:模拟类仪器、数字类仪器、智能化仪器和虚拟仪器。第一代模拟类仪器。是最早开发的振动分析系统。主要是由光电矢量瓦特计和测振仪等组成,其基本结构的共同特点是电磁机械式,要借助指针或光点等来显示测试结果;第二代数字类仪器。这类闪频式动平衡仪可以将模拟信号的测量转化为数字信号量,并以数字显示方式输出测量结果;第三代智能化仪器。这类仪器内置MCS51系列单片机,集测试、数据处理、平衡计算和图形显示等功能于一体,具有自动化测量仪器的最初特点。但其功能主要是以硬件(或固化软件)形式存在,不利于二次开发、功能复用和维护。而且数据存贮量小,处理速度慢,功能比较单一,精度有限;第四代虚拟仪器[3]。仪器主要功能可由①数据采集②数据测试和分析③结果输出显示等三大部分组成,其中数据分析和结果输出完全可由软件系统来完成,因此只要另外提供一定的数据采集硬件,就可构成新的测量仪器。

目前的振动测量信号可以通过采用加速度传感器采集加速度变化,经过电路处理成为频谱,最终成为人能够直观的看到振动波形。一般加速度传感器就是利用了其内部的由于加速度造成的晶体变形这个特性。由于这个变形会产生电压,只要计算出产生电压和所施加的加速度之间的关系,就可以将加速度转化成电压输出。当然,还有很多其它方法来制作加速度传感器,比如电容效应,热气泡效应,光效应,但是其最基本的原理都是由于加速度产生某个介质产生变形,因为振动产生加速度,利用这个特性,通过测量其变形量并用相关电路转化成电压输出前一般都采

第 2 页 共 38 页

中北大学2009届毕业设计说明书

用加速度传感器来测量振动信号。 1.3 论文主要研究内容及安排

本篇论文是以AT8C51单片机为控制核心,设计振动测试系统,并根据相应情况提供实时显示和数据存储分析功能。

针对上述研究内容,本论文内容具体安排如下:

第1章:阐述了研究本课题的背景及目前的振动检测技术。 第2章:比较并设计了系统的总体方案。

第3章:对系统进行了硬件设计,并对硬件的各个模块及模块电路做了详细的介绍。

第4章:结合时序对系统进行软件总体及子程序的设计,并绘制了流程图,以及给出了ADS774工作时序和C51程序。

第5章:对系统调试过程中容易出现的问题做了阐述。 第6章:对本系统设计做了简要的总结。

第 3 页 共 38 页

中北大学2009届毕业设计说明书

2 系统总体方案设计

2.1 系统总体方案对比与分析

振动测试系统的设计方法有多种方式,不同之处主要体现在加速度传感器方面。加速度是物体运动的速度随时间的变化率,是描述物体运动速度的大小和方向变化的物理量。加速度传感器都是利用加速度产生某个介质产生变形,通过测量其变形量并用相关电路转化成电压输出的原理。

目前单维的加速度传感器的技术比较成熟,基于压电、压阻、光纤等检测机理的各种传感器,市场上都有相应的产品。然而,物体运动的加速度是一空间矢量。一方面,要准确了解物体的运动状态,必须测得它的三个坐标轴上的分量;另一个方面,在预先不知道物体运动状况的场合下,只有应用多维加速度传感器来检测相应的加速度信号[4]。同时,随着科学技术的迅速发展,传统的单维加速度传感器己经不能满足在测量、控制和信息技术等领域对传感信息越来越高的要求。加速度传感器种类繁多,但从测试原理上可分为压电效应式、电容式、电感式、压阻式等等。 加速度传感器的主要指标有以下几个方面: (1)灵敏度。 (2)频率响应。 (3)测量量程。 (4)精度。

(5)满量程费线性度。 (6)漂移。 (7)横向效应。 (8)抗震性能。

最近十几年来,MEMS(微电子微机械)加速度传感器得到了迅速的发展。其主要的加速度检测技术有压阻检测、压电检测、热检测、共振检测、电磁检测、光检测、隧道电流检测和电容检测等。加速度传感器是一种重要的力学量敏感器件,广泛地应用于工业自动控制、科学测量、军事和空间系统等领域。目前常用的有压电效应、电容效应等原理的加速度传感器。

第 4 页 共 38 页

中北大学2009届毕业设计说明书

加速度传感器类型 : (1)压电式加速度传感器

压电式加速度传感器又称压电加速度计。它也属于惯性式传感器。它是利用某些物质如石英晶体的压电效应,在加速度计受振时,质量块加在压电元件上的力也随之变化。当被测振动频率远低于加速度计的固有频率时,则力的变化与被测加速度成正比[5]。

由于压电式传感器的输出电信号是微弱的电荷,而且传感器本身有很大内阻,故输出能量甚微,这给后接电路带来一定困难。 为此,通常把传感器信号先输到高输入阻抗的前置放大器。经过阻抗变换以后,方可用于一般的放大、检测电路将信号输给指示仪表或记录器。目前,制造厂家已有把压电式加速度传感器与前置放大器集成在一起的产品,不仅方便了使用,而且也大大降低了成本。

图2.1 压电式加速度传感器

常用的压电式加速度计的结构形式如图2.1所示。S是弹簧,M是质块,B是基座,P是压电元件,R是夹持环。图2.1a是中央安装压缩型压电元件—质量块—弹簧系统装在圆形中心支柱上,支柱与基座连接。这种结构有很高的共振频率。然而基座B与测试对象连接时,如果基座B有变形则将直接影响拾振器输出。此外,测试对象和环境温度变化将影响压电元件,并使预紧力发生变化,容易引起温度漂移。图2.1c为三角剪切形,压电元件由夹持环将其夹牢在三角形中心柱上。加速度计感受轴向振动时,压电元件承受切应力。这种结构对底座变形和温度变化有极好的隔离作用,有较高的共振频率和良好的线性。图2.1b为环形剪切型,结构简单,能做成极小型、高共振频率的加速度计,环形质量块粘到装在中心支柱上的环形压电元件上。由于粘结剂会随温度增高而变软,因此最高工作温度受到限制。

上述压电式加速度传感器存在响应频率范围小,机械运动部件容易损坏,传

第 5 页 共 38 页

中北大学2009届毕业设计说明书

感器质量大造成附加质量大等缺点。 (2)电容式加速度传感器

图2.2 电容式加速度传感器原理

采用质量块-弹簧-阻尼器系统来感应加速力,其结构如图2.2所示。图中只画出了一个基本单元。它是利用比较成熟的硅加工工艺在硅片内形成的立体结构(图2.2只给出其剖面示意图)。图中的质量块是加速度传感器的执行器,与质量块相连的是可动臂;与可动臂相对的是固定臂。可动臂和固定臂形成了电容结构,作为微加速度传感器的感应器。其中的弹簧并非真正的弹簧,而是由硅材料经过立体加工形成的一种力学结构,它在加速度传感器中的质量块左右相当于弹簧。它实际上是变极距差动电容式位移传感器,配接“m-k-c”系统构成的。

图2.3 “m-k-c”系统原理图

电容式加速度传感器的等效原理图如图2.3所示。图2.3中,右侧标尺表示与

第 6 页 共 38 页

中北大学2009届毕业设计说明书

大地保持相对静止的运动参考点,称为静基准,x表示被测振动体2及传感器底座1相对于该参考点的位移,称为绝对位移,y 表示质量块m 相对于传感器底座1的位移,称为相对位移。x和y 之间关系可用典型二阶比常系数微分方程描述:

dy2 dt2?2??0dydt??y?20dxdt22 (式2.1)

C2mk式中:?0为自振角频率;?0?k/m;?为阻尼系数,??;C为空气

阻尼。而位移x,速度v,加速度a三者之间的关系为:

a?代入式(2.1)得:

dydt22dydt?dxdt22 (式2.2)

?2??0dydt??0y?a (式2.3)

2经拉氏变换得“m-k-c”系统得传递函数:

y(s)A(s)?1S?2??0S??022 (式2.4)

令S=jω,可求得质量块相对运动得位移振幅ym与被测振动体绝对运动得加速度振幅am的关系为:

ymam?21/?0221??/?0?(2???0 (式2.5)

)式(2.5)具有低通滤波特性。由此可见,当?n

传感器壳体2的位移y与C1,C2关系为:

C1?C2C1?C2?yd0 (式2.7)

第 7 页 共 38 页

中北大学2009届毕业设计说明书

式中,d0为不振动时,电容C1和C2的初始极距。若差动电容接入图2.4所示变压器式电桥中,则电桥开路输出电压幅值U0为:

U0?将式(2.7)代入式(2.8)得 U0?E2d0?20E2*C1?C2C1?C2?Ey2d0 (式2.8)

*am

(式2.9)

可见,当ω<

图2.4 变压器式电桥

基于MEMS(微电子微机械系统)技术的硅微电容式加速度传感器,构成高性能高精度单轴测量系统。具有噪声小,功耗低,温漂少等特点。采用表面贴装工艺,整块尺寸较小。能测量动态加速度(如振动),或者静态加速度(如惯性力,重力和倾角)。该加速度传感器性能稳定,可广泛运用在加速度测量,倾角测量和振动测量中。

2.2 系统总体方案设计

本设计要求以AT89C51单片机为控制核心,设计振动测试系统,并根据相应情况提供实时显示和数据存储分析功能。

基于MEMS加速度传感器的振动测试系统结构图如图2.5所示。

振动信号由ADXL150加速度传感器转换为电信号,先经过放大电路将微弱的振动信号放大至符合后级电路需求,再经过12位高速AD完成模数转换,通过并行总线,输出数字信号送入AT89C51单片机进行数据存储处理。同时进行检波、电压比

第 8 页 共 38 页

中北大学2009届毕业设计说明书

较产生中断触发信号和进行简单数据量化处理以提供给AT89C51单片机进行实时的数据处理。AT89C51单片机机实现数据采集、存储、处理、传输,采用Keil C51完成软件设计。数据输出部分通过串行通信方式完成振动数据的存储、将数据传输到PC机进行数据分析,构成了一个振动测试系统。

加速度传感器 放大电路 双向检波电路 A/D采集电路 电压比较电路 单片机电路 串行接口电路 存储器电路 D/A转换电路 PC机 模拟输出电路 图2.5 系统结构图

第 9 页 共 38 页

中北大学2009届毕业设计说明书

3 系统硬件设计

振动测试系统的整体硬件电路如图3.1所示:系统以AT89C51为核心控制器,包括信号放大电路、AD采集电路、双向检波电路、电压比较电路、模拟输出电路和存储器电路,下面就每个模块详细介绍:

ADXL150加速度传感器 ADS774 P0.0~P0.3 P1.0~P1.7 LM358 放大电路 P2.0~P2.7 AT89C51 TXD RXD LM358 检波电路 LM393 电压比较 INTO P3.6 P3.7 24CXX 存储器电路 RS-232C 串行接口 AD7542 模拟输出 键盘输入

图3.1 系统的硬件连接图

3.1 ADXL150加速度传感器 3.1.1 ADXL150简述

ADXL150是美国模拟器件公司(ANALOG DEVICE)生产的低噪声、低功耗、单轴微MEMS加速度传感器。该器件内部有时钟源、增益放大器、同步解调系统、输出缓冲运放、二阶滤波器和自检系统。可编程控制量程为±25g或±50g,80分贝的动态范围,测量分辨率小于10mg,通过设置Vout和OFFSET NULL端口跳线可以将输出比例系数从38mV/g调节到76mV/g。在工业级温度范围内0g温漂小于0.4g。在使用时外部仅需要一个旁路电容[6]。 3.1.2 ADXL150的封装形式

第 10 页 共 38 页

中北大学2009届毕业设计说明书

ADXL150的管脚排列如图3.2所示,COMMON为公共接地端;ZERO g ADJ为0g调节端;SELF-TEXT为自检端,当其输入为高电平时芯片进入自检模式;Vout为信号输出端,此端可直接连接ADC进行模数转换;Vs为电源输入端。

图3.2 ADXL150的封装形式

3.1.3 ADXL150内部结构

ADXL150内部结构图3.3所示,主要由5部分组成:敏感元件、增益放大、时钟源、同步解调、缓冲放大器。

图3.3 ADXL150的内部结构图

(1)敏感元件

敏感元件时通过在氧化层上沉积多晶硅,然后经过蚀刻形成的。

第 11 页 共 38 页

中北大学2009届毕业设计说明书

图3.4 敏感元件结构图

图3.4是一个简化的敏感元件结构图。实际传感器由42个这样的晶胞检测加速度。中间横梁由于加速度左右而移动,引起板间电容改变,最后转换为电压输出。 (2)ADXL150中的增益放大运放是将敏感元件输出的信号进行放大以便测量。 (3)ADXL150加速度传感器的时钟源主要为敏感元件和同步解调电路提供100kHz的时钟信号。

(4)同步解调系统能够抑制除敏感元件信号外的所有信号,能够时传感器不受电磁干扰和无线电频率干扰。

(5)缓冲放大器可以调节传感器的输出比例系数,正常情况下为38mV/g。 3.1.4 ADXL150基本电路

可以采用外接电源与地之间接0.1uF去耦电容供电。通过调节R1b可以增加输出精度,调节RT可改变直流偏置,通常将0g时的输出调节到2.5v[17]。

图3.5 基本电路

第 12 页 共 38 页

中北大学2009届毕业设计说明书

3.2 放大电路

ADXL150虽然内部已经有放大电路、滤波电路和缓冲输出电路,但其输出的信号的幅值仍然很小,不利于后级电路处理和A/D转换。所以在ADXL150输出端连接了放大电路。放大电路中使用一片LM358AN。具体电路如图3.6所示。

图中V1为ADXL150的V1信号输出端口。LM358AN为反相放大器,电路中R1=91K、R4=4.7K所以此电路为经典反相放大电路,其增益系数为R1/R4=19.4。LM358AN构成了一个放大倍数为19.4倍的反相放大电路,将ADXL150的输出端口的信号放大并反相,使波形与ADXL150原始输出方向相同[7]。

图3.6 放大电路

第 13 页 共 38 页

中北大学2009届毕业设计说明书

3.3 双向检波电路

加速度传感器输出振动信号经放大电路放大后输出为无规则信号,无法为单片机提供终端触发信号。所以要将放大电路输出信号经过双向检波电路和电压比较电路才能够为单片机提供中断触发信号和A/D采集控制电平[8]。所以要将放大电路输出再经过双向检波电路使波形变的更加平滑,如图3.7所示。

图3.7 双向检波电路

V2接图3.6中的输出信号V2,此电路中二极管D1、D2起到检波作用。当输入信号V2为正极性时,D1导通,D2截止,当输入信号V2为负极性时,D1导通,D2截止。经过二极管D1、D2滤波电路输出波形都为正向波形,再经过LM358放大器输出信号将更加平滑,差动放大器的输入信号为两个滤波信号的差,经LM358放大器后输出信号与输入信号的关系为:

U0?R2R6?UD1?UD2? (式3.1)

第 14 页 共 38 页

中北大学2009届毕业设计说明书

3.4 电压比较电路

经双向检波电路输出的波形还不能直接输入AT89C51单片机,为单片机提供中断触发控制信号。必须要经过一个电压比较电路,如图3.8所示。

图3.8 电压比较电路

本电路中使用LM393构成电压比较电路,双向检波输出信号由LM393的2端输入,电位器R12调节触发电压输入3端。由1端经过一个10kΩ的上拉电阻为AT89C51单片机提供中断触发信号和采集控制电平。AT89C51单片机进入中断后启动A/D采集,采集多长时间是由电压比较电路输出的低电平的宽度决定[9]。 3.5 A/D转换电路

在系统控制和数据采集中,经常遇到数值随时间连续变化的物理量,这种连续变化的物理量,称为模拟量,与此相对应的电信号是模拟电信号。显然,模拟量要输入到计算机系统中,必须要经过模拟量到数字量的转换(A/D转换),计算机才能接受。

A/D转换过程主要包括采样、量化与编码。采样是使模拟信号在时间上离散

第 15 页 共 38 页

中北大学2009届毕业设计说明书

化,量化与编码则是把采样后所得到的离散幅值经过舍入的方法变换为与输入量 成比例的二进制数。A/D转换电路种类很多,根据转换原理可以分为逐次逼近式、双积分式、并行式、跟踪比较式、串行式、电荷平衡式等。目前使用较多的是前三种。

由于传感器输出的是变化的电压信号,而AT89C51单片机没有内置A/D转换器,所以是无法直接识别电压信号,因此这里需要外接A/D转换芯片进行模数转换。振动信号频率在1kHz左右,为了保证波形的完整性,必须要采用高速AD进行数据转换,所以本系统采用12位精度,转换时间位8.5us即转换速率为118kHz的高速AD—ADS774。

ADS774为12位高速并行输出AD,基本电路如图3.9,电路连接简单,输入有多种模式,包括±10V, ±20V和2.5V三种状态,单电源供电可输入正负电压。其输出方式可以设置为8位输出和12位输出。12位输出状态还可以设置为12同时输出或先输出高八位再输出低四位。鉴于本系统中使用的单片机AT89C51为8位机和节省端口的考虑,本设计中就选择先输出高八位再输出低四位的工作方式。和单片机接口电路如图3.10所示。仅使用单片机的一个8位端口和两个控制端口就能完成12位数据的读取[10]。

图3.9 ADS774双极性工作电路

第 16 页 共 38 页

中北大学2009届毕业设计说明书

图3.10 12位输出连接方式

3.6 AT89C51简介 3.6.1 AT89C51内部结构

AT89C51内部结构主要包括累加器ACC(有时也简称为A)、程序状态字PSW、地址指示器DPTR、只读存储器RMO、随机存取存储器ARM、寄存器、并行I/O接口PO~P3、定时器/计数器、串行I/O接口以及定时控制逻辑电路等。这些部件通过内部总线联接起来,构成一个完整的微型计算机。AT89C51内部结构图如图3.11所示[11]。

第 17 页 共 38 页

中北大学2009届毕业设计说明书

图3.11 AT89C51的内部结构图

3.6.2 AT89C51性能简介

(1)主要性能

? 与MCS-51产品指令系统完全兼容;

? 片内集成4KB的FLASH存储器,可反复编程/擦除1000次; ? 数据保留时间:10年;

? 全静态设计,时钟频率范围为0~24MHz、33MHz; ? 三个程序存储器保密位; ? 128×8字节的内部RAM; ? 32条可编程的I/O口线;

? 2个可工作于4种模式的16位定时/计数器; ? 5个中断源/2个中断优先级;

第 18 页 共 38 页

中北大学2009届毕业设计说明书

? 可编程串行通道;具有4种工作模式的全双工串行口; ? 低功耗的待机工作模式和掉电工作模式; ? 片内振荡器和时钟电路; (2)管脚说明

图3.12 AT89C51引脚图

VCC:供电电压。 GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8 TTL门电流。当P1口的管脚第一次写“1”时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FLASH编程时,P0口作为原码输入口,当FLASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4 TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为

第 19 页 共 38 页

中北大学2009届毕业设计说明书

输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流,这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

P3 口也可作为AT89C51的一些特殊功能口,P3口管脚备选功能: P3.0 RXD(串行输入口); P3.1 TXD(串行输出口); P3.2INTO(外部中断0); P3.3 INT1(外部中断1); P3.4 T0(计时器0外部输入); P3.5 T1(计时器1外部输入); P3.6 WR(外部数据存储器写选通); P3.7 RD(外部数据存储器读选通);

P3口同时为闪烁编程和编程校验接收一些控制信号。

RST:复位输入。当振荡器复位时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR 8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

第 20 页 共 38 页

中北大学2009届毕业设计说明书

PSEN:外部程序存储器的选通信号。在由外部程序存储器读取指令期间,每

个机器周期两次PSEN有效。但在访问外部数据存储器时,这两次有效的PSEN信号将不出现。

EA/VPP:当EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),

内部程序存储器。注意加密方式1时,EA将内部锁定为RESET;当

不管是

EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于

施加12V编程电源(VPP)。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 (3)振荡器特性

XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。由于输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。

(4)芯片擦除

整个EPROM阵列和三个锁定位的电擦除可通过正确的控制信号组合,并保持ALE管脚处于低电平10ms来完成。在芯片擦除操作中,代码阵列全被写“1”且在任何非空存储字节被重复编程以前,该操作必须被执行。

(5)编程算法

? 地址线上输入欲编程的存储单元地址; ? 在数据线上输入编程数据; ? 加正确的控制信号组合;

? 在“高压”模式下使VPP为12V;

? 在ALE引脚上加一次负脉冲,可对FLASH存储器的一个字节或保密位进行编程,编程一个字节的周期是内部自定时的,典型时间不会超过1.5ms。改变编程的存储单元地址和编程数据重复步骤(1)~(5),直到编程文件最后。此外,AT89C51设有稳态逻辑,可以在零频率的条件下静态逻辑,支持两种软件可选的

第 21 页 共 38 页

中北大学2009届毕业设计说明书

掉电模式。在闲置模式下,CPU停止工作,但RAM、定时器、计数器、串口和中断系统仍在工作。在掉电模式下,保存RAM的内容并且冻结振荡器,禁止所用其他芯片功能,直到下一个硬件复位为止[12]。 3.7 D/A转换电路

经AT89C51单片机采集的数据,可以通过D/A转换电路将采集的数据以模拟信号的形式输出,给人提供直观的分析结果。本电路采用一片AD7542模数转化器和两片OP10AY组成。

1.AD7542是美国模拟器件公司设计生产的精密12位单片CMOS数字/模拟变换器,它采用先进薄膜工艺制造而成,具有乘法特性、低功耗、+5V工作以及易与单片机接口等特性。图2所示是AD7542的内部原理框图,该D/A转换器由三个4位数据寄存器、一个12位DAC寄存器、地址译码逻辑和一个12位CMOS乘法型DAC组成。数据以三个4位字节方式装入数据寄存器,随后传送到12位DAC寄存器。全部数据的装入或传送操作与静态随机存取存储器的写周期操作相同,当器件通电时,清零信号输入可使DAC寄存器容易地复位到全零[13]。 AD7542的引脚定义如下:

图3.13 AD7542的引脚图及内部结构

OUT1:DAC电流输出总线,一般接在运算放大器端; OUT2:DAC电流输出总线,一般接地;

D0~D3:数字输入端,D3是最高有效位(MSB),D0是最低有效位(LSB); CS:片选输入;

第 22 页 共 38 页

中北大学2009届毕业设计说明书

WR:写输入端; A0、A1:地址总线输入;

VDD:+5V电源输入;

VREF:参考电压输入; RFB:反馈电阻;

AGND:模拟接地端; DGND:数字接地端。

2.模拟输出电路如图3.14所示,该电路由一片AD7542和两片OP10AY组成,AD7542接受由单片机发送的数字信号,转换成模拟信号。AD7542输出信号经过第一片OP10AY完成电压电流信号的转化,再经过第二片OP10AY放大电流信号,调节R30的阻值来调节直流偏置,输出合适的模拟信号。

图3.14 模拟输出电路

第 23 页 共 38 页

中北大学2009届毕业设计说明书

3.8 串行通信接口

AT89C51单片机有一个全双工的串行通讯口,所以单片机和电脑之间可以方便地进行串口通讯。进行串行通讯时要满足一定的条件,比如电脑的串口是RS232C电平的RS232串行信息格式为10位,1位起始位,1位奇偶校检位,1位停止位,8位数据位。RS232C的机械指标规定:RS232C接口通向外部的连接器是一种“D”型25针插头,在微机通讯中,通常使用的RS232C接口信号只有九根引脚,其引脚如图3.15所示:

图3.15 DB9 管脚图

RS232C电平是负逻辑电平(逻辑0:+5V~+15V,逻辑1:-15V~-5V),而单片机的串口是TTL电平的,TTL为正逻辑电平(带负载时:逻辑1:+5V~+12V,逻辑0:-5V~-12V。不带负载时:逻辑1:2.4V,逻辑0:0.4V),所以单片机和PC机进行通信时,两者之间必须有一个电平转换电路。电平转换常用的芯片: 除了上述连接方法外可以达到电平转换的目的外,还可以用专用RS232C电平和TTL电平转换芯片MAX232。单片机与CP机串行通讯的连接图如图所示,只使用了串行输入[14]。

第 24 页 共 38 页

中北大学2009届毕业设计说明书

图3.16 串行接口电路

第 25 页 共 38 页

中北大学2009届毕业设计说明书

4 系统软件设计

4.1 软件总体设计

程序的控制思想:系统运行后初始化系统变量、初始化串行口和中断源、启动键盘扫描子程序,设计程序将完成Data Flash中存储的数据通过串行口发送到PC机;并完成单次数据采集并存储到Data Flash中;以及删除Data Flash中原有数据,等待下次存储[15]。 4.2 子程序设计

24CXX存储器可以通过ISP/IAP技术对其内部Data Flash进行擦除、读取和写入操作。

4.2.1 24CXX存储器Data Flash的字节读

24CXX存储器Data Flash进行字节读的过程如图4.1

地址赋值 写入读命令 打开ISP,IAP功能 触发ISP_IAP 关闭ISP,IAP功能 返回读取到的数据

图4.1 Data Flash字节读流程

第 26 页 共 38 页

中北大学2009届毕业设计说明书

如果24CXX存储器读取成功,将会返回读取到的数据,否则无返回。 程序如下:

/* ================ 公用的触发代码 ==================== */ void ISPgoon(void) { }

/* ==================== 字节读 ======================== */ uchar byte_read(uint byte_addr) { }

4.2.2 24CXX存储器Data Flash的字节写

24CXX存储器Data Flash的字节写操作流程如图4.2所示。写入正确则返回OK以示成功写入,写入错误则返回ERROR表示写入失败[16]。

ISP_ADDRH = (uchar)(byte_addr >> 8); /* 地址赋值 ISP_ADDRL = (uchar)(byte_addr & 0x00ff); ISP_CMD = ISP_CMD ISP_CMD = ISP_CMD ISPgoon();

& 0xf8;

/* 清除低3位 */

*/

ISP_IAP_enable(); ISP_TRIG = 0x46; ISP_TRIG = 0xb9; _nop_();

/* 打开 ISP,IAP 功能 */ /* 触发ISP_IAP命令字节1 */ /* 触发ISP_IAP命令字节2 */

| ReadCommand; /* 写入读命令 */

/* 触发执行

*/

*/

ISP_IAP_disable(); return (ISP_DATA);

/* 关闭ISP,IAP功能 /* 返回读到的数据 */

第 27 页 共 38 页

中北大学2009届毕业设计说明书

打开ISP,IAP功能 地址赋值 取数据 写入读命令 读取数据 比较对错 返回ERROR 返回OK 图4.2 Data Flash的字节写操作流程

程序如下:

/* ===================== 字节写 ===================== */ uchar byte_write(uint addr, uchar wdata) { ISP_IAP_enable();

/* 打开IAP功能

*/

ISP_ADDRH = (uchar)(addr >> 8); ISP_ADDRL = (uchar)(addr & 0x00ff); ISP_DATA = wdata;

/* 取数据 */ ISP_CMD = ISP_CMD & 0xf8;

/* 清低3位

*/

ISP_CMD = ISP_CMD | PrgCommand; /* 写命令2 */

ISP_TRIG = 0x46;

/* 触发ISP_IAP命令字节1 */

第 28 页 共 38 页

中北大学2009届毕业设计说明书

}

4.2.3 24CXX存储器Data Flash的扇区擦除操作

Data Flash的扇区擦除操作的流程如图 4.3所示 ,擦除扇区时必需一次擦除一个扇区,不能跨扇区擦除,所以每次调用扇区擦除子程序时输入的地址都为每个扇区的首地址。所以在启动单次采集前要进行手动擦除扇区[17]。

ISP_TRIG = 0xb9; _nop_(); /* 读回来 */ ISP_DATA = 0x00;

ISP_CMD = ISP_CMD & 0xf8;

/* 清低3位

*/ */

/* 触发ISP_IAP命令字节2 */

ISP_CMD = ISP_CMD | ReadCommand;/* 读命令1 ISP_TRIG = 0x46; ISP_TRIG = 0xb9; _nop_(); /* 比较对错 */ if(ISP_DATA != wdata) { }

ISP_IAP_disable(); return Error;

/* 触发ISP_IAP命令字节1 */ /* 触发ISP_IAP命令字节2 */

ISP_IAP_disable(); return Ok;

第 29 页 共 38 页

中北大学2009届毕业设计说明书

打开ISP,IAP功能 地址赋值 写入读命令 触发执行 关闭ISP,IAP功能

图4.3 Data Flash的扇区擦除操作流程

程序如下:

/* ================== 扇区擦除 ======================== */ void sector_erase(uint sector_addr) { }

4.2.4 ADS774的A/D采集流程

第 30 页 共 38 页

uint iSectorAddr;

iSectorAddr = (sector_addr & 0xfe00); /* 取扇区地址 */ ISP_ADDRH = (uchar)(iSectorAddr >> 8); ISP_ADDRL = 0x00;

ISP_CMD = ISP_CMD & 0xf8;

/* 清空低3位 */

/* 擦除命令3 */

*/ */

ISP_CMD = ISP_CMD | EraseCommand; ISPgoon();

/* 触发执行

ISP_IAP_disable(); /* 关闭ISP,IAP功能

中北大学2009届毕业设计说明书

ADXL150加速度传感器的输出为变化的电压信号,而AT89C51单片机没有内置A/D模块,所以必须要使用外部A/D采集芯片将模拟信号数字化。本系统所使用的ADS774的控制信号功能组合表如表4.1所示。

表4.1 ADS774控制信号组合表

如果要使ADS774以独立方式工作,只要将CE,12/8端接入+5V,CS和Ao接至0V,将R/C作为数据读出和数据转换启动控制。当R/C=1时,数据输出端出现被转换后的数据,R/C=0时,即启动一次A/D转换。在延时0.5us后STS=1表示转换正在进行。经过一次转换周期Tc(典型值为25us)后STS跳回低电平表示A/D转换完毕,可以从数据输出端读出新的数据[18]。

启动ADS774转换的时序图和ADS774读取的时序图如图4.4和图4.5所示。

图4.4 ADS774转换的时序

第 31 页 共 38 页

中北大学2009届毕业设计说明书

图4.5 ADS774读取的时序

本系统中使用的时ADS774的十二位转换,数据分两次读出功能。所以需要控制A0端以决定输出的数据是高八位还是低四位[17]。启动AD转换后检测转换完毕信号端STS,转换过程中STS输出为高电平,当转换结束STS输出低电平,即可控制A0分别读出高八位数据和低四位数据[19]。程序流程如图4.6所示。

开始转换 查询转换是否结束 读取高八位 将高八位左移四位 读取低四位 将高八位与低四位组合 返回十二位数据 图4.6 ADS774A/D转换流程图

第 32 页 共 38 页

中北大学2009届毕业设计说明书

程序如下:

/******************AD转换程序*************************/ uint adin(void)

//AD转换

{

uint getdata; uchar dat; EOC=1; EOC=0; EOC=1;

//启动转换

while(STS); //查询STS是否转换完毕 A0=0;

//输出高八位数据 dat=P1; getdata=dat; //读取高八位数据 A0=1;

//输出低四位数据

getdata=getdata<<4; //将高八位左移四位 dat=P1&0X0F; //读取低四位

getdata|=dat;

//将低四位与高八位组合

return(getdata); //返回读取到的十二位数据 }

第 33 页 共 38 页

中北大学2009届毕业设计说明书

5 系统调试

在实际调试的过程中,为确保读数的正确性和稳定性,可利用示波器观察LM358双向检波电路的输出波形是否符合要求,如果检波输出波形不符合要求则可以通过调节LM358周围的电容电阻使其输出达到要求,并可通过调节检波放大电路输出电位器R2调节输出波形的幅值和直流偏置以输出合适的幅值提供给LM393电压比较电路[20]。通过示波器观察LM393电压比较电路,调节基准电位器R12使电压比较电路能够在检波输出达到或超过一定幅值时为单片机提供一个准的触发信号以启动数据采集系统。

经A/D采集后的数据由单片机控制存储在24CXX存储器的Data Flash中。AT89C51单片机通过串行通信方式向PC机发送数据。PC机接收到这些数据后首先将数据组合还原成原来的12位数据,再进行波形还原成像[21]。不同振动所产生的波形特征时不同的,所以我们可以先经过测试,采集大量数据,提取特征量,构建振动特征库。当进行数据采集分析时就可以提取特征量,于已构建的特征库中的数据进行比对分析,即可进行各项指标的判断。

第 34 页 共 38 页

中北大学2009届毕业设计说明书

6 结论

本振测试系统采用的ADXL150加速度传感器,通过外部放大电路,同时输入到A/D采集电路和双向检波、电压比较电路,借助于AT89C51单片机控制高速A/D-ADS774进行数据采集,将数据发送存储,并可以将振动数据输入PC机,通过PC进行波形还原或进行更为细致的分析。转换速度快,能够实现满足实时显示输出的要求。整个硬件电路以AT89C51为控制核心, AT89C51中自带4k bytes的可反复擦写的只读程序存储器(PEROM)和128 bytes的随机存取数据存储器(RAM)构建的系统简约,具有实用性强、可靠性高等特点。因此,该系统的设计有一定的使用参考价值。

第 35 页 共 38 页

中北大学2009届毕业设计说明书

参 考 文 献

[1]张令弥. 振动测试与动态分析. 北京:航空工业出版社,2003. 161-190 [2]薛实福,李庆祥.精密仪器设计[M]. 北京:清华大学出版社.2001:101

[3]王化祥,张淑英.传感器原理及应用.天津:天津大学出版社,2006.162-179 [4]李德葆,张元润.振动测量与试验分析.北京:机械工业出版社,2003.5-15 [5]田辉,甘勇.微型计算机接口技术一系统、接口与通信[M].北京航空航天大学出版社.2001:1 86-1 98.221

[6]ANALOG DEVICE 公司. ADXL150 使用手册 [Z]. 2003:23

[7]张思.振动测试与分析技术.北京:清华大学出版社,2005.123-148

[8]求是科技编.单片机典型模块设计实例导航[M].北京:人民邮电出版社,2004.15 [9]曹树谦,张文德,萧龙翔.振动结构模态分析——理论、实验与应用.天津:天津大学出版社,2001.2-10

[10]王福瑞.单片微机测控系统设计大全[M].第2版.北京:北京航空航天大学出版

社,1999.105

[11]黄惠媛,李润国主编.单片机原理与接口技术[M].北京:海洋出版社2006.242~

245

[12]郝永平 . MEMS设计模拟与仿真系统应用.北京:国防工业出版社.2007 [13]张福学.实用传感器手册[M].北京:电子工业出版社,2002:111

[14]孙育才. MCS51 系列单片微型计算机及其应用[M] . 南京:东南大学出版

社.2001: 215~223

[15]马忠梅,籍顺心,张凯,马岩.单片机的C语言应用程序设计(第4版)[M].

北京航空航天大学出版社.2007.10

[16]郁有文,常健.传感器原理及工程应用[M]. 西安:西安电子科技大学出版

社.2004:221

[17][美]R.R.布歇.冲击与振动传感器的校准[M]. 计量出版社.2002: 120~124 [18]孙玉声.振动传感器[M].西安交通大学出版社.2004:52

[19]卢文科.实用电子测量技术及其电路精解[M].国防工业出版社.2000:237-239 [20]刘玉秋. 虚拟振动测试分析系统的设计:[硕士学位论文].北京:华北电力大学,

第 36 页 共 38 页

2005. 58-73

第 37 页 共 38 页

中北大学2009届毕业设计说明2003

[21]胡均安. 工程测试基础. 广州:华南理工大学出版社, 中北大学2009届毕业设计说明书

致 谢

本文是在导师杨录老师精心指导下完成的,杨老师渊博精深的知识、严谨的治学态度、敏锐的学术思想及忘我的工作精神,时时刻刻鞭策和鼓励着我,将使我终身受益。至此论文完成之际,向杨老师表示衷心的感谢和崇高的敬意!

大学四年时间即将过去了,在这段求学的道路上,我得到了许多师长、同学和朋友给予的帮助和支持,在此表示诚挚的感谢!

最后向所有关心和帮助过我的人致以衷心的谢意。

第 38 页 共 38 页

本文来源:https://www.bwwdw.com/article/fpoo.html

Top