太阳能电池最大功率跟踪的研究.

更新时间:2023-05-01 05:08:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

武汉科技学院

毕业设计(论文)任务书

课题名称:太阳能电池最大功率跟踪的研究 完成期限:2006年2月27日至 2006年6月2日

院系名称 电子信息工程学院指导教师 薛勇

专业班级 自021 指导教师职称 讲师

学生姓名 刘荣华

院系毕业设计(论文)工作领导小组组长签字

一、课题训练内容

(1) 通过毕业设计培养学生综合应用,巩固与扩展所学的基础理论和专业知识,培养学生独立分析、使用计算机解决实际问题的能力。

(2) 通过毕业设计,培养学生正确的设计思想、理论联系实际的工作作风、严肃认真的科学态度、团结协作的团队精神;

(3) 训练收集查找太阳能光伏发电方面的中外文专业资料的阅读与翻译能力;

(4) 学习相关的背景知识,了解、熟悉太阳能发电系统工作原理及其结构。

(5) 训练方案选择和比较能力;

(6) 训练工程设计及实验研究能力;

(7) 训练计算机编程及应用能力,提高学生计算机软件、硬件和应用系统设计和开发的能力;

(8) 通过对已完成的工作进行整理,以及毕业设计论文的撰写和毕业答辩,使学生的书面和口头表达能力得到进一步的训练和提高。

二、设计(论文)任务和要求(包括说明书、论文、译文、

计算程序、图纸、作品等数量和质量等具体要求) (1) 设计任务:

主要研究并网光伏发电系统中太阳能电池最大功率跟踪技术以及相关电路实现。

(2) 设计要求:

①提交开题报告一份,提交时间3月20日左右,字数在2000~3000字之

间,内容需包含课题意义,所属领域的发展状况,本课题的研究内容、研究方法、研究手段和研究步骤以及参考书目等;

②提交毕业设计论文一份,正文不得少于10000字,按照武汉科技学院毕

业设计模版格式要求规范撰写;

③翻译一篇与本课题相关的英文专业资料,其对应的中文翻译不得少于

3000字;

1

④绘制各电路单元电路图,并做相关分析,说明;

⑤做出相关底层软件的流程、框图,以及相关程序并在条件允许的情况下

做出相应程序的软件仿真。

三、毕业设计(论文)主要参数及主要参考资料

主要参考资料:

[1] 赵争鸣,刘建政.太阳能光伏发电及其应用[M].北京:科学出版社.2005.

[2] 潘松.黄继业.EDA技术实用教程[M].北京:科学出版社.2002.

[3] 郑诗程.光伏发电系统及其控制的研究[D].安徽:合肥工业大学电力电子

与电力传动专业,2004.

[4] 赵宏,潘俊民.基于Boost电路的光伏电池最大功率点跟踪系统[J].电力

电子技术,2004,38(3):55-57.

[5] 禹华军,潘俊民.光伏电池输出特性与最大功率跟踪的仿真分析[J].计算

机仿真,2005,22(6):248-252.

[6] 周霖.DSP系统设计与实现[M].北京:国防工业出版社.2003.

[7] 赵为.太阳能光伏并网发电系统的研究[D].安徽:合肥工业大学电力电子

与电力传动专业,2003.

2

四、毕业设计(论文)进度表

武汉科技学院毕业设计(论文)进度表序

号 起止日期 计划完成内容

实际完

成情况

检查人签名

检查日期

1 2.27-3.1

2 了解可选课题方向查找相关资料熟悉备选课题,确认选题方向并查找相关背景资料

2 3.13-3.19 确认题目及课题侧重点。确定数据采集处理构架及处理器件

3 3.20-3.26 根据设计原理找到创新点,确认基本框架,确认课题基本侧重点及框架、课题设计难点以及研究步骤,完成开题报告。

4 3.27-4.2 修改开题报告并最终确认整个方案。学习光伏发电系统的原理,整个系统构成,了解最大功率跟踪的意义以及基本电路结构和实现方法。

5 4.3-4.9 硬件电路框架的设计,比较各现有方案的优缺点,画出电路框图。

6 4.10-4.16 功率调整电路方案的选定,定器件并完成电路原理图的设计。

7 4.17-5.7 数据处理及控制部分设计, 系统软件设计。

8 5.8-5.22 整理设计材料和文档,编写设计论文,并对相关细节进行修改。

9 5.23-6.2论文修改,格式整理

3

武汉科技学院毕业设计(论文)开题报告

课题名称 太阳能电池最大功率跟踪的研究

院系名称 电子信息工程学院专 业 自动化

班级 自021学生姓名 刘荣华

1. 本课题的研究意义

太阳能的大规模应用将是21世纪人类社会发展进步的一个重要标志,日益恶化的生态环境使人们逐步认识到,人类必须走可持续发展的道路,大力开发和利用可再生能源是必由之路。太阳能光伏利用技术在这种形势下进入了快速发展的阶段,而光伏并网发电系统是光伏系统的必然发展趋势。光伏并网发电系统的最大优点就是不用蓄电池中间储能,因而节省了投资,使系统简化且易于维护。由于太阳能的波动性和随机性,光电池的输出电压电流具有很大的波动性,为此我们必须对光电池阵列的输出进行相应的调整使输出满足并网逆变器的要求,并且充分利用其产生的能量,需要对DC/DC模块进行控制使其输出功率最大。由于采用了大规模可编程逻辑器件来实现采集接口以及使用DSP器件处理数据达到可以同时监控多路DC/DC模块,节省人力物力。与微机接口可以利用计算机应用程序易于实现丰富图形界面的特点,具有良好的人机接口和数据存储能力。

2. 所属领域的发展状况

通过“七五”、“八五”和“九五“计划,我国已在光伏水泵、独立运行逆变器、并网逆变器、通信用控制器、光伏系统专用测量设备等部件攻关上取得进展,而且在光伏电站、风光互补电站、光伏户用系统和并网发电系统的开发上取得一定经验。同时在产品的系列化、模块化、标准化、智能化以及工业化生产方面也已取得一定进展。我国目前使用的大都是简单设计的控制器,智能型控制器仅用于通信系统和较大型的光伏电站。与国外控制器比较,我国主要差距是国产控制器由于器件质量、无极性的反接保护以及短路、过流而造成的器件损坏等问题容易失效;专业化生产程度低、无温度补偿、无蓄电池负荷状态控制,很少采用脉宽调节或最大功率跟踪方式的控制。

1

2

接口,可以实现多路ADC同时采集,同时充分利用了DSP器件的运算优势,达到散式采集集中控制的目的。所以本课题的重点就在于多路ADC的控制以及数据的读取,DSP的信号处理以及与上位机的通信。

功率调整模块是本系统的关键,包括功率采样电路和控制反馈电路。

前端数据的采集对于本系统来说可以是非高速的,由于多路ADC同时完成信号的采样,因此数据总线的时序控制显得相当重要。所以本课题的一个难点就是合理分配多路采样数据的控制时序和读取时序。

为了满足采样完成后的数据的交换以及可靠传输,这里采用了高速先进先出(FIFO)缓存器件,达到与DSP的高速数据交换和处理。

DSP接受到数据后的处理也是本课题的一个难点,特别是光电池阵列的功率输出特性的模拟和最大功率跟踪算法的实现。这里是数据是否流畅是整个系统的关键,因为可编程逻辑器件是多任务同时运行,所以数据会集中在可编程逻辑器件内部缓存和FIFO中等待DSP的调取和处理。

为了增强整个系统的可扩展性增加了一个通信模块来协调多个采集系统与上位机的数据交流,同时为上位机配置多串口卡同时实现对多个采集系统的同时控制。这也是本课题的一个难点。

5. 研究步骤

本课题涉及可编程器件,数字信号处理和通信,所以基本研究步骤如下: 一.确定基本方案。查找相关资料,熟悉可编程器件并选取型号,学习器件手册,了解器件的控制方式并做相关实验。

二.熟悉DSP器件,查找相关资料做相关实验。

三.设计并确认功率调整模块和采集模块电路,选择合适器件,确认ADC阵列的控制时序并做出原理图。

四.熟悉并确认控制流程,编写相关低层软件。

五.通信模块的设计。

六.整合相关模块,完成联合实验。

七.做出相应的调整,确认整个方案。

3

6. 参考书目

[1] 郑诗程.光伏发电系统及其控制的研究[D].安徽:合肥工业大学电力电子与

电力传动专业,2004.

[2] 赵宏,潘俊民.基于Boost电路的光伏电池最大功率点跟踪系统[J].电力电子

技术,2004,38(3):55-57.

[3] 赵争鸣,刘建政.太阳能光伏发电及其应用[M].北京:科学出版社.2005.

[4] 潘松.黄继业.EDA技术实用教程[M].北京:科学出版社.2002.

[5] 禹华军,潘俊民.光伏电池输出特性与最大功率跟踪的仿真分析[J].计算机

仿真,2005,22(6):248-252.

[6] 周霖.DSP系统设计与实现[M].北京:国防工业出版社.2003.

[7] 赵为.太阳能光伏并网发电系统的研究[D].安徽:合肥工业大学电力电子与

电力传动专业,2003.

[8] 陈耀和.VHDL语言设计艺术[M].北京:电子工业出版社.2004.

[9] 陈健,陈伟.DSP算法应用与设计[M].北京:机械工业出版社,中信出版

社.2004.

指导教师签名:

年月日

4

武汉科技学院2006届毕业设计(论文)

摘 要

太阳能作为绿色能源凭其独到的优点受到广泛青睐。在政府的大力支持下,太阳能光伏发电飞速发展,独立光伏发电系统和并网光伏发电系统将成为光伏发电的主流。基于此,本文就光伏发电系统所用的最大功率跟踪(MPPT)电路进行了理论分析,采用模块化的电路设计方式构造了基于集散式控制体系的最大功率跟踪电路。将基于FPGA+DSP构架的实时控制系统概念引入到系统中来,设计了具有上位机通讯接口及数码显示功能的多路光伏阵列最大功率跟踪电路。文中就DC/DC变换器电路,电流、电压采样电路,FPGA控制的多路数模转换电路,通信电路以及信号处理电路进行了设计,对最大功率跟踪算法进行了比较并提出了相应的软件流程。用硬件描述语言对A/D转换控制和数据读取以及缓存写时序进行了设计并进行了软件仿真,提出了显示控制模块的框图。

关键词:光伏发电; MPPT; DC/DC变换器; 电流采样; 电压采样;

I

武汉科技学院2006届毕业设计(论文)

ABSTRACT

Solar energy is accepted commonly as a green energy because of its unique advantages. In the strong support of the government, The Photovoltaic (PV) generation system gain a rapid development, The stand-alone and grid-connected PV generation systems will be the trend among PV applications. This paper analyses the Maximum Power Point Tracking (MPPT) circuit used on the grid-connected PV generation systems in theory, design a MPPT circuit based on distribution of the control theory in the blocking methods. Design a multiplexed PV array MPPT circuit that has digital communications interface to the PC and LED display module by introducing the real-time control system theory based on FPGA+DSP framework to the system. The DC/DC converter circuits, currents、voltage sampling circuit, A/D conversion circuits controlled by FPGA, communication circuits and signal processing circuit are described in the paper, Compare the methods used in the MPPT system and made the corresponding software processes. Design the A/D conversion control and data retrieval module by using the hardware description language, the diagram shows the buffer write time and display control module.

Keywords:PV generation; MPPT; DC/DC converter; currents sampling ; voltage sampling;

II

武汉科技学院2006届毕业设计(论文)

目 录

1.绪论 (1)

1.1 太阳能光伏并网发电的意义 (1)

1.1.1 能源现状 (1)

1.1.2 发展光伏发电系统的意义 (1)

1.2 最大功率跟踪的意义 (1)

1.2.1 光伏发电系统的结构及工作原理 (1)

1.2.2 太阳能电池的输出特性及最大功率跟踪的意义 (4)

2.太阳能电池最大功率跟踪电路的设计 (5)

2.1 最大功率跟踪(MPPT)电路的组成 (5)

2.1.1组成原理 (5)

2.1.2组成框图 (6)

2.2 功率调整模块电路 (7)

2.2.1DC/DC变换器电路分析 (7)

2.2.2用于MPPT的BoostDC/DC变换器的电路设计 (10)

2.2.3用于MPPT的数据采集电路的设计 (11)

2.3 数据处理模块电路 (16)

2.3.1基于FPGA的数据接口设计 (16)

2.3.2基于DSP的控制器设计 (18)

2.4 通信模块电路 (20)

2.4.1通信协议的选择 (20)

2.4.2通讯芯片选择及电路设计 (21)

3太阳能电池最大功率跟踪算法的研究 (21)

3.1 FPGA程序设计 (21)

III

武汉科技学院2006届毕业设计(论文)

3.1.1编程工具及所用语言 (22)

3.1.2系统所用程序设计 (22)

3.2 DSP算法及控制逻辑 (27)

3.2.1常用最大功率跟踪算法比较 (27)

3.2.2最大功率点确认及算法原理 (29)

3.2.3本系统最大功率跟踪软件流程 (30)

4总结 (31)

参考文献 (33)

附录 (34)

英文资料 (37)

中文翻译 (43)

致谢 (47)

IV

武汉科技学院2006届毕业设计(论文)

1 绪论

1.1太阳能光伏并网发电的意义

1.1.1 能源现状

能源是人类社会生存和发展的动力源泉。从原始社会的钻木取火到近现代的化石能源以及核能、地热能、潮汐能、风能、太阳能等各种新能源的应用无不闪现着人类的智慧之光。然而,随着人类对能源需求的日益增加,化石能源的储量正日趋枯竭。石油危机以来,常规能源频频告急。在中国,这一情况也不容乐观,据官方统计,按目前的消耗速度,中国的现有能源储量至多可以使用50年。根据专家预测,到2020年,中国石油消费量将突破4亿吨,其中一半以上将依赖进口。化石能源资源的有限性和环境保护压力的增加,使世界上许多国家加强了对绿色能源和可再生能源技术发展的大力支持,太阳能作为绿色能源,具有无污染、无噪音,取之不尽,用之不竭等特点,越来越受到人们的关注。

目前,世界光伏产业正以31.2%的平均年增长率高速发展,是全球增民率最高的产业,己成为当今世界最受关注、增长幅度最快的能源产业之一[1]。

1.1.2 发展光伏发电系统的意义

我国西北地区土地辽阔,人烟稀少,交通不便,燃料供应紧张且价格极高,常规电网难以筱盖,但太阳能资源极为丰富[1](如图1-1所示).从技术经济角度分析,当输出电功率与送电距离之比小于100瓦/公里时,用太阳电池电源供电要比常规电源供电经济得多,为此,我国在2002-2003年度推出了西部光伏照明工程,在西北地区大力发展光伏发电系统以提高西部地区人民的生活水平,这对于贯彻西部大开发战略具有重要的政治意义和经济意义。

1.2 最大功率跟踪(MPPT)的意义

1.2.1 光伏发电系统的结构及工作原理

图1-2为目前光伏发电系统典型示意图[1][2]。该图包含了光伏发电系统的两大类:独立光伏发电系统(Stand-alone PV System) 和并网光伏发电系统

1

武汉科技学院2006届毕业设计(论文)

(Grid-connected PV System ),其相应的组成结构分别见图1-3和图1-4所示。

图1-1 中国的太阳能资源

图1-2 光伏发电系统典型示意图

图1-3为独立光伏发电系统的组成结构,系统由光伏阵列、充电控制器(CHARGER),蓄电池组(BAT)、正弦波逆变器(DC/AC)和系统监控部分等组成,其工作原理是:光伏阵列首先将接收来的太阳辐射能量直接转换成电能,经过充电控制器后直接供直流负载使用,多余的电能以化学能的形式储存在蓄电池中,储存在蓄电池中的能直接供直流负载使用或经过全桥逆变器后变成SPWM波,然后再经过滤波和变压器升压后变成交流220V, 50Hz的正弦电压供给交流负载使用。

图1-4为并网光伏发电系统的组成结构,系统由光伏阵列、功率调整电路、

2

武汉科技学院2006届毕业设计(论文)

3

1-3 独立光伏发电系统的组成结构

正弦波逆变器(DC/AC)和系统监控部分等组成,其工作原理是:光伏阵列将接收来 的太阳辐射能量经过高频直流变换后变成高压直流电,然后经过逆变器逆变后向电网输出与电网电压同频同相的正弦交流电流。

图1-4 并网光伏发电系统的组成结构

由上述两种结构可以看出,系统的关键部分在于最大功率跟踪部分和逆变器控制部分,是电力电子技术在光伏系统中应用的直接体现。在光电池效率一定的

武汉科技学院2006届毕业设计(论文)

4条件下整个系统的工作性能完全取决于它们。所以本课题讨论的是一种全新的MPPT 控制模式,采用了模块化的设计,分散采集、集中控制,增加了系统的灵活性和协调性,充分利用了资源。

1.2.2太阳能电池的输出特性及最大功率跟踪(MPPT)的意义

光伏发电存在一个很大的问题是光伏电池的输出特性受外界环境影响大,温度和光照辐射强度的变化都可以导致输出特性发生较大的变化;另外,光伏电池转换效率低且价格昂贵,初期投入较大。因此,充分利用光伏电池所产生的能量是光伏发电系统的基木要求。

图1-5a 给出了光伏电池的等效电路,图1-5b 为光伏电池在不同温度、辐射强度下的I,V 特性,图1-5c 为光伏电池在不同温度、辐射强度下的P,V 特性。 光伏电池的输出特性方程[3]为: ()exp 1S LG OS S sh V IR q I I I V IR AKT R +????=?+?????????

? 公式(1-1) 式中 3

11exp GO os or r r qE T I I T Bk T T ??????=????????????? ()251000LG SCR I I I K T λ=+?????

I,V ——光伏电池的输出电流和输出电压

SCR I ——标准测试条件下电池的短路电流 A,B——常数

Ios ——暗饱和电流 T——光伏电池的表面温度 k——波耳兹曼常数 I K ——短路电流的温度系数 q——单位电荷 λ——辐射强度

GO E ——硅的禁带宽度 LG I ——光电流 r T ——参考温度

or I ——r T 下的暗饱和电流 sh R ——电池的并联电阻 S R ——电池的串联电阻

武汉科技学院2006届毕业设计(论文)

5

图1-5a

图1-5b 图1-5c

从图1-5b、图1-5c 可见,光伏电池的温度主要影响开路电压,辐射强度主要影响短路电流。另外,在一定的温度和辐射条件下,光伏电池具有唯一的最大功率输出点。由于实际使用中不能保证负载总是工作在最大功率点上,因此需要在负载和光伏电池之间加入MPPT 装置,以保证光伏电池始终输出最大功率。

2 太阳能电池最大功率跟踪电路的设计

2.1 最大功率跟踪(MPPT)电路的组成

2.1.1 组成原理

太阳能电池(Solar cell )有时也常被称作太阳电池,通常由半导体材料制成,其作用是把太阳能直接转换为直流形式的电能,是光伏阵列中光电转换的最小单元,由于单个太阳电池的功率极小。因此一般不单独作为电源使用。实际应用中是将许多单个太阳电池经过串、并联组合井进行封装后构成太阳电池组件使用。

武汉科技学院2006届毕业设计(论文)

6通常光伏电站都由很多这样的光伏阵列组成,而我们必须对每个光伏阵列都进行数据处理和MPPT 控制,为了使系统更灵活这里采用模块化的设计方法,如果我们为每个采集模块都配一个数据处理模块,增加了系统通讯监控难度,浪费了硬件资源,所以采用可编程器件来增加接口将采集的数据预处理后集中送往DSP 器件来处理,并完成与上位机的通讯。

整个系统分为:前端功率调整模块、数据处理模块以及通信模块。

2.1.2 组成框图

如图2-1所示为前端功率调整模块包括:主回路DC/DC 变换器,数据采集电路,PWM 驱动电路以及电平转换匹配电路。主回路DC/DC 变换器主要是MPPT 的执行电路单元,它负责调整光伏阵列的输出电压使其工作在最大功率点(Maximum Power Point,简记为MPP)。数据采集电路负责采集电压信号和电流信号,经由电平转换匹配电路送与数据处理模块进行数据处理。

图2-1 前端功率调整模块框图

图2-2所示的为系统数据集中处理单元,包括由可编程器件组成的数据接口,高速缓冲器件以及DSP 器件处理前端采集来的实时数据,并完成与上位机的

武汉科技学院2006届毕业设计(论文)

7通讯,便于监控。DSP+FPGA 结构最大的特点是结构灵活,有较强的通用性,适于模块化设计,从而能够提高算法效率;同时其开发周期较短,系统易于维护和扩展,适合于实时信号处理。在实时信号处理系统中,低层的信号预处理算法处理的数据量大,对处理速度的要求高,但运算结构相对比较简单,适于用FPGA 进行硬件实现,这样能同时兼顾速度及灵活性。高层处理算法的特点是所处理的数据量较低层算法少,但算法的控制结构复杂,适于用运算速度高、寻址方式灵活、通信机制强大的DSP 芯片来实现。同时,由于FPGA 具有很强大的可配置性,I/O 资源相当丰富低功耗的特点,节省了硬件资源,增加了系统的抗干扰能力。

图2-2 数据处理模块框图

2.2 功率调整模块电路

2.2.1 DC/DC 变换器电路分析

一般而言传统变换器有三种拓扑电路:Buck,Boost,Buck-Boost。现分别针对这三种拓扑进行分析比较[4]。

(1) Buck 拓扑电路

如图2-3所示,BUCK 变换器是一种降压斩波器,功率传输由开关管T 的通断进行控制。若占空比为D,输出电压O U ,输入电压为in U ,则O U = D in U ,可以看

出,输出电压O U 总是低于太阳能电池阵列输入电压in U 。因此Buck 电路一般应用

武汉科技学院2006届毕业设计(论文)

8在高压输入,低压输出的场合。

图2-3 Buck 拓扑

(2) Boost 拓扑电路 图2-4所示的是Boost 变换器电路拓扑结构。Boost 变换器是一种升压斩波器,所用电力电子器件及元件和Buck 型拓扑基本相同,仅电路拓扑结构不同。其功率传输也由开关管T 的通断来控制。设占空比位D,输出电压O U ,输入电压

为in U ,则有: )in 1o U U D =? 公式(2-1) 所以Boost 变换器是输出电压高于太阳能电池阵列输入电压的单管不隔离直流变换器,一般应用在低压输入,高压输出的场合。

(3) Buck-Boost 拓扑电路

设占空比为D,输出电压为O U ,输入电压为in U ,1in o DU U D

=?,因此Buck-Boost 变换器是一种输出电压可以高于或低于太阳能电池阵列的输入电压。其主电路与Buck,Boost 变换器的元器件基本相同,但输出电压的极性与输入电压相反。由于纹波电流的影响,相对于Buck,Boost 电路次拓扑的工作效率低。与Buck 电路不同的是:需要额外的二极管1D 防止功率倒流回太阳能电池阵列。如图2-5所

示。

由于Buck 电路的输人端工作在断续状态下,若不加入储能电容,则光伏电

武汉科技学院2006届毕业设计(论文)

池阵列的工作时断时续,不能处于最佳工作状态。加入了储能电容后,Buck电路功率开关断开时光伏电池阵列对储能电容充电,使光伏电池阵列始终处于发电状态,此时调节Buck电路占空比才能有效跟踪最大功率点,因此储能电容对于利用Buck电路实现MPPT功能是必不可少的。然而在大功率情况下,储能电容始终处于大电流充放电状态,对其可靠工作不利,同时由于储能电容通常为电解电容,使Buck电路无法工作在更高的频率下,增大了MPPT装置的体积,使整个系统变得笨重。相比之下,Boost变换器可以始终工作在输入电流连续的状态下,只要输入电感足够大,则电感上的纹波电流小到接近平滑的直流电流,因此只需加入容量较小的无感电容甚至不加电容,避免了加电容带来的种种弊端。同时,Boost电路非常简单,且由于功率开关管一端接地,其驭动电路设计更为方便。Boost电路的不足之处是其输入端电压较低,在同样功率下,输入电流较大,因而会带来较大的线路损耗,但Boost电路具有独特的优点,仍然是一种吸引人的方案。

图2-4 Boost拓扑

图2-5 Buck-Boost拓扑

9

本文来源:https://www.bwwdw.com/article/fo9e.html

Top