基于FPGA智能窗控制系统 - 图文

更新时间:2024-06-04 08:50:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

东北农业大学学士学位论文 学号:A02110021

基于FPGA智能窗控制系统

学生姓名:李婷婷 指导教师:崔天时 所在院系:电气与信息学院 所学专业:电子信息工程 研究方向:智能检测与控制

东 北 农 业 大 学

中国·哈尔滨 2015年5月

Northeast Agricultural University Bachelor's Degree Thesis Student ID:A02110021

Base on FPGA Intelligent Window

Name:Li Tingting Tutor:Cui Tianshi

College:College of Electronic and Information Major:Electronic Information Engineering

Direction:Intelligent Detection and Control

Northeast Agricultural University

Harbin · China May 2015

基于FPGA智能窗控制系统

摘 要

随着电子技术、自动控制技术、应用感测技术的飞速发展以及人民生活水平的日益提高,智能家居这个概念逐渐深入人心,随着人们对居住环境质量的关注度的提升,能够改善居室环境的智能产品也越来越受到广大消费群体的青睐。基于目前的智能家居的发展态势以市场需求,本文以市场需求为导向设计了一种新型的、经济的智能窗控制系统。

根据FPGA智能窗控制系统需要达到的总体需求,通过调节硬件部分、软件部分以及机械部分,将智能窗控制系统划分为以下功能模块:温度检测模块、CO2气体检测模块,以及Quartus II 开发软件和GSM通信等模块。从而实现智能窗的温度监控,气体监控以及智能窗的远程控制功能。

本设计总体方案采用FPGA Cyclone IV系列的EP4CE6F17C8N作为核心控制芯片,由L298N作为电机驱动的两相四线步进电机拖动窗体完成开关动作,通过DS18B20温度传感器、GE6603 CO2气体传感器进行相关环境参数的采集,由GSM通信模块TC35i实现远程控制的功能。从而以相对稳定的性能实现智能窗户的基本功能,为人们营造出一个更加舒适便捷的智能生活环境。

关键词:FPGA;智能窗;传感器;GSM通信模块

-I- I

基于FPGA智能窗控制系统 Base on FPGA Intelligent Window

Abstract

With the rapid development of electronic technology, automatic control technology, the application of sensing technology and increasing people's living standards, the smart home concept has been widely accepted, with the enhancement of people's quality of living environment of concern, it is possible to improve the indoor environment Smart products are increasingly popular majority of consumer groups. Based on the current development trend of smart home market demand, the paper market demand-oriented design of a new, economical smart window control system.

According FPGA smart window control system needs to reach the overall demand, by adjusting the hardware components, software components and mechanical parts, the smart window control system is divided into the following modules: temperature detection module, CO2 gas detection module, and Quartus II development software and GSM communication modules. In order to achieve smart window temperature monitoring, gas monitoring and remote control of smart windows.

The overall program design using FPGA Cyclone IV family EP4CE6F17C8N as the core control chip, the L298N as a motor-driven two-phase four-wire stepper motor drive form completion switch moves through DS18B20 temperature sensor, GE6603 CO2 gas sensor related environmental parameters The acquisition, by the communication module TC35i GSM remote control functions. Thus a relatively stable performance to achieve the basic functions of smart windows for people to create a more comfortable and convenient living environment intelligent.

Keywords: The Intelligent Window; The Sensor; The GSM Communication Module

- II -

基于FPGA智能窗控制系统 目 录

摘 要......................................................................................................................................... I Abstract ...................................................................................................................................... II 1 前言......................................................................................................................................... 1

1.1 研究背景 ...................................................................................................................... 1 1.2 国内外研究现状 .......................................................................................................... 1 1.3 本设计的主要内容 ...................................................................................................... 2 2 研究方案 ................................................................................................................................. 3

2.1 研究目标 ...................................................................................................................... 3 2.2 研究内容 ...................................................................................................................... 3 2.3研究方法及技术问题 ................................................................................................... 3 2.4 设计中所需解决的关键问题 ...................................................................................... 5 2.5 实验方案 ...................................................................................................................... 5 3 硬件设计 ................................................................................................................................. 7

3.1 FPGA的介绍 ............................................................................................................... 7 3.2温度检测模块 ............................................................................................................... 8

3.2.1 温度传感器的选择 ........................................................................................... 8 3.2.2 温度检测模块电路 ........................................................................................... 9

3.2.2.1 DS18B20的工作状态 ............................................................................ 9 3.2.2.2 DS18B20有两种供电接法 .................................................................. 10

3.3 CO2气体检测模块 .................................................................................................... 10

3.3.1 CO2气体传感器 .............................................................................................. 10 3.3.2 CO2气体传感器工作原理 .............................................................................. 12 3.3.3 CO2气体传感器外围接口电路 ...................................................................... 12 3.4 GSM通信模块 ........................................................................................................... 13

3.4.1 GSM的介绍 .................................................................................................... 13 3.4.2 TC35i的介绍................................................................................................... 13 3.4.3 TC35i硬件原理图(见附录) ....................................................................... 15 3.5 显示器模块 ................................................................................................................ 15 3.6 电机设备 .................................................................................................................... 16 3.7 窗户机械模型模块 .................................................................................................... 17

3.7.1 自动开关窗任务分析 ..................................................................................... 17 3.7.2 齿轮齿条参数选择 ......................................................................................... 18 3.7.3 自动开关窗机械模型 ..................................................................................... 18

4 软件设计 ............................................................................................................................... 19

4.1 FPGA的开发环境与工具 .......................................................................................... 19

-II-

基于FPGA智能窗控制系统 4.1.1 Quartus II 开发软件 ....................................................................................... 19 4.1.2 硬件描述语言(HDL) ................................................................................. 21 4.2 控制器对DS18B20操作 .......................................................................................... 22 4.3 实现电机动作 ............................................................................................................ 24 4.4 实现中文短信发送 .................................................................................................... 26

4.4.1 无线通信模块初始化 ..................................................................................... 26 4.4.2 无线通信模块发送短信息 ............................................................................. 27 4.4.3 无线通信模块接收短信息 ............................................................................. 28

5 应用与推广 ........................................................................................................................... 29

5.1 智能窗的基本应用 .................................................................................................... 29 5.2 智能窗的推广及演变 ................................................................................................ 29 6 结论....................................................................................................................................... 31 参考文献 ................................................................................................................................... 32 致 谢....................................................................................................................................... 34 附 录....................................................................................................................................... 35

-III-

基于FPGA智能窗控制系统 1 前言

1.1 研究背景

随着科学技术的飞跃发展生活水平的不断提高人们对安全、舒适、健康的生活需求变得日益迫切。近年来智能家居概念已经逐渐深入到国民的生活之中且不断地影响着人们的思维。因此众多有条件的小区、别墅用户已经开始安装使用智能家居系统并尝试智能化为家居生活带来的安全、舒适和便利。智能家居系统在经历了早期混乱的概念纷争之后月前已经逐渐进入理性时代。针对目前的发展态势本设计以市场需求为导向设计了一种新型的、经济的智能窗控制系统。

智能窗控制系统的研究及应用一直是最近几年的热点,而随着对安全性能及舒适度系数的提高,智能窗控制系统也已经逐步取代人们对于普通窗户的传统需求观念。温度监控和气体监控和GSM通信模块等则是基于FPGA智能窗控制系统中非常重要的几个组成部分,即以人为舒适程度为目标的事实监控场合中所必须考虑到的关键问题。目前该领域产品由于成本、技术等方面的原因尚未普及,因此具有广阔的发展空间。我们本着“绿色环保”的设计理念设计了一款智能窗以解决普通窗户存在的种种弊病。本项目既符合了时代的潮流,同时也兼具现实意义。

1.2 国内外研究现状

随着智能家居的概念已经逐渐深入到国民的生活之中且不断地影响着人们的思维。因此众多有条件的小区、别墅用户已经开始安装使用智能家居系统并尝试智能化为家居生活带来的安全、舒适和便利。智能家居系统在经历了早期混乱的概念纷争之后月前已经逐渐进入理性时代。

然而在智能家居发展过程中,除了需要各种新型设备的应用之外,数据收集、传输、处理、储存与发送技术的发展和应用起到了关键作用。新型的监测器,为人们提供了大量的实时的数据信息,新型的通讯技术,也使人们能更加迅速地传递这些数据,而新型的计算机,则能高速地进行数据处理与存储。

智能窗户在发达国家已经得到了初步的应用。新加坡、美国已有近四百万户家庭安装了这一类的“门窗智能化系统”。PARKS公司的资料显示:2010年,美国一个家庭要安装家庭自动化设备的平均费用在7000至9000美元之间,美国家庭已使用先进家庭自动化设备的比率为0.53%,市场真正启动尚需时日。预计这五年内,家庭自动化的市场年平均增长率为8%。与此同时国内关于此方面的研究也同样很多:例如使用Luminary Micro(流明诺瑞)公司生产的Stellaris(群星)系列微控制器LM3S615,并以该芯片为核心搭建系统硬件平台开发出来的智能窗,可以实现自动智能防盗并能短信通知户主,监控煤气泄漏,自动防雨,自动防风,气体监控等智能遥控开关门窗。还有以使用S3C2410芯片作为核心处理器,在嵌入式Linux操作系统上,利用LCD触摸屏技术实现对主机的操作,从而达到人机交互界面愈加人性化的也有基于单片机的智能窗户控制系统。

- 1 -

基于FPGA智能窗控制系统 基于智能建筑对智能窗的需求,结合FPGA控制技术和无线通信技术,对所设计的智能窗控制系统的工作原理、硬件结构、软件程序、功能特性进行了详细的阐述。并重点对根据不同自然环境条件如何控制窗的不同状态的实现方法和主控程序的设计进行了论述。最后指出所设计的智能窗能充分利用自然条件改善室内环境,满足建筑节能的要求,同时也由于FPGA具有高速的CMOS工艺,低功耗,开发周期短等特点,因此它所具有的性能也是普通单片机所无法比拟的。

1.3 本设计的主要内容

本设计的主要研究内容是基于FPGA智能窗控制系统。研究对象主要是以FPGA为核心,通过将硬件部分,软件部分和机械部分相结合从而实现智能窗自我控制及远程调节控制为主要内容。本设计的主要功能是实现温度调节,气体调节以及GSM通信模块远程通知等功能。最后达到符合市场需求,满足人们对于品质生活的无限追求的目的。同时本设计也在线路布局以及器件安排方面进行了合理安排,充分考虑器件的性能特点,从而达到最佳设计优化,实现智能家居的绿色理念。

- 2 -

基于FPGA智能窗控制系统 2 研究方案

2.1 研究目标

本设计旨在提出一种基于 Verilog HDL 语言描述,FPGA 实现的智能窗控制系统。主要通过调节硬件部分、软件部分以及机械部分,从而使人们能够时刻处于最适宜人类的舒适条件下生活。

通过相应的传感器智能检测,即当室外下雨即温度降低时,智能窗将自动关闭;而当室外温温度达到人体最适温度值、室内湿度上升或CO2含量过高时智能窗将自动打开,并可通过利用移动终端(如:手机)的GSM移动网络的短消息服务的功能,从而得以实现对家居内的智能呼吸窗系统的远距离遥控监管,并得以获取当前家居智能窗的开闭状态。从而实现智能监管的目的。

2.2 研究内容

该系统设计主要可分为硬件部分、软件部分以及机械部分。硬件部分由传感器,电机以及辅助电路组成。软件部分通过 Verilog HDL语言进行编程。机械部分可根据实际需求来进行设计。该系统基于机电一体化的设计初衷,综合运用了传感器技术、软件编程技术,电路设计技术智能控制技术等实现目标功能。

本系统设计能够依据室内外的气体状况和外界的天气情况来实时进行控制窗户的开启和关闭状态,具体有以下几个控制要:

(1) 当外界气温上升或下降时温度传感器检测到这一下温度变化信号(相当于触发开关)并将信号传送给FPGA芯片来控制电机及时开关窗户并报警。防止温度升高或降低对于人们生活质量的影响此后每过5分钟重新检测如果温度重新达到预设值则窗户自动打开;

(2) 当室内CO2 超过一定的标准时窗户自动打开并启动排气扇进行排气且此优先级最高同时报警器也会响起来提醒主人危险的存在及及时调节空气新鲜度;

(3) 当存在多种情况同时发生时,智能窗控制系统将依据CO2 >温度>湿度的优先级原则选择最优响应;

(4) 可由用户自行选择手动模式、定时模式和智能模式,当有多种情况发生冲突时,能够依据手动>定时>智能的优先原则顺序,做出相应的响应动作;

(5) 当用户外出时,可通过GSM移动网络作为信息平台,实现远距离智能控制来获取室内最适宜状态。

2.3研究方法及技术问题

本课题拟采用实证研究法,依据相关传感器检测技术、FPGA控制理论和居室环境的需要,提设计方案,通过有目的有步骤的操纵,根据观察、记录、测定于此相伴随的

- 3 -

基于FPGA智能窗控制系统 现象的变化来确定条件与现象的因果关系。主要目的在于说明各种自变量与某一种因变量的关系。本系统设计采用的设计方案如图2-1所示。

确定方案选择器件硬件设计N硬件测试Y制电路板软件设计软件测试NY综合调试N调试成功Y项目结题

图2-1 技术路线流程图

首先进行资料收集并研究,根据资料分析研究FPGA芯片各引脚与功能、Proteus电路仿真软件、Verilog HDL语言的学习,来达到整体布局,合理规划好各种传感器型号及其安放位置,然后再进行下一步的硬件设计部分安装,设计前端信息采集模块、执行功能模块、核心控制模块、GSM模块、显示模块之间的连接方式,进行调试,从而发现设计硬件中的不合理之处,进行改善。再根据最终确定的设计方案,来制作印刷电路板。然后再进行软件设计,再设计的同时也需考虑到各种不同参数之间的优先级顺序,合理

- 4 -

基于FPGA智能窗控制系统 3.2.2.2 DS18B20有两种供电接法

数据线供电方式和外部供电方式。DS18B20可以通过从VDD引脚接入一个外部电源供电,或者可以工作于寄生电源模式下,该模式允许DS18B20工作于无外部电源需求状态。寄生电源寄生在进行远距离测温时是非常有用的。寄生电源的控制回路,当总线为高电平时,寄生电源由单总线通过VDD引脚。这个电路会在总线处于高电平时偷能量,部分及取得能量存储在寄生电源储能电容(CPP)内,在总线处于低电平时释放能量以提供给器件能量。当DS18B20处于寄生电源模式时,VDD引脚必须接地。

对DS18B20供电的另一种传统办法是从VDD引脚接入一个外部电源,即外部供电方式。这样做的好处是单总线上不需要强上拉。而且总线不用在温度转换期间总保持高电平。

综合以上供电方式优缺点,虽然寄生电源方式少用一根导线,但它完成温度测量所需的时间较长,而外部电源方式测量速度则要快些。而本设计方案要求实时控制因此本系统设计采用外部供电方式,如图3-3所示。在单总线上可以挂任意多片DS18B20。注意当加上外部电源时,GND引脚不能悬空。

VCCU127.0VCCDQGND321R14.7kDS18B20DS18B20GND 图3-3 DS18B20温度传感器外部电源供电方式

3.3 CO2气体检测模块

3.3.1 CO2气体传感器

气体传感器是一种将某种气体体积分数转化成对应电信号的转换器。探测头通过气体传感器对气体样本进行调理,通常包括滤除杂质和干扰气体、干燥或冷处理仪表显示部分。即气体敏感元件就是能感知环境中某种气体及其浓度的一种装置或器件,它能将与气体种类和浓度有关的信息转换成电信号,从而可以进行检测、监控、分析、报警。现在市面上有各种各样的气体传感器。气体传感器在民用、工业、环境检测等方面都有着广泛的应用。

气体传感器的选择方向也从以下几方面进行考量:

- 10 -

基于FPGA智能窗控制系统 (1)根据测量对象与测量环境确定传感器的类型。要进行—个具体的测量工作,首先要考虑采用何种原理的传感器,这需要分析多方面的因素之后才能确定。因为,即使是测量同一物理量,也有多种原理的传感器可供选用,哪一种原理的传感器更为合适,则需要根据被测量的特点和传感器的使用条件考虑以下一些具体问题:量程的大小;被测位置对传感器体积的要求;测量方式为接触式还是非接触式;信号的引出方法,有线或是非接触测量;传感器的来源,国产还是进口,价格能否承受,还是自行研制。在考虑上述问题之后就能确定选用何种类型的传感器,然后再考虑传感器的具体性能指标。

灵敏度的选择通常,在传感器的线性范围内,希望传感器的灵敏度越高越好。但要注意的是,传感器的灵敏度高,与被测量无关的外界噪声也容易混入,也会被放大系统放大,影响测量精度。因此,要求传感器本身应具有较高的信噪比,尽量减少从外界引入的于扰信号。传感器的灵敏度是有方向性的。当被测量是单向量,而且对其方向性要求较高,则应选择其它方向灵敏度小的传感器;如果被测量是多维向量,则要求传感器的交叉灵敏度越小越好。

(2)响应特性(反应时间)。传感器的频率响应特性决定了被测量的频率范围,必须在允许频率范围内保持不失真的测量条件,实际上传感器的响应总有—定延迟,希望延迟时间越短越好。传感器的频率响应高,可测的信号频率范围就宽,而由于受到结构特性的影响,机械系统的惯性较大,因有频率低的传感器可测信号的频率较低。在动态测量中,应根据信号的特点(稳态、瞬态、随机等)响应特性,以免产生过火的误差。

(3)线性范围。传感器的线形范围是指输出与输入成正比的范围。以理论上讲,在此范围内,灵敏度保持定值。传感器的线性范围越宽,则其量程越大,并且能保证一定的测量精度。在选择传感器时,当传感器的种类确定以后首先要看其量程是否满足要求。但实际上,任何传感器都不能保证绝对的线性,其线性度也是相对的。当所要求测量精度比较低时,在一定的范围内,可将非线性误差较小的传感器近似看作线性的,这会给测量带来极大的方便。

现如今市场上比较常用的二氧化碳传感器主要有两种:一种是固态电解质的,另外一种就是红外工作原理的。其中固态电解质二氧化碳传感器的工作原理是指气敏材料在有气体通过时产生离子而形成电动势,根据测量电动势大小而测量气体浓度;红外二氧化碳传感器的工作原理对特定波段红外辐射的吸收作用,通过对透过测量室的辐射能量的减弱与增加,从而测出二氧化碳浓度。一般固态电解质二氧化碳传感器电导率高、灵敏度和选择性较好,用途比较广泛。

基于多种条件的制约本系统设计采用的CO2传感器为美国通用公司的GE6603型传感器,它可广泛应用于家庭网络、通风系统、控制器、壁件、机器人、汽车等,也可以应用于其他许多装置来控制空气质量。其外形结构如图3-4所示。

GE6603型传感器的性能指标: 测量范围:0-2000ppm 取样方式:扩散式 精度:±75ppm或读数的10% 响应时间:< 3 min

- 11 -

基于FPGA智能窗控制系统 工作条件:5℃~30℃,20%~100%RH,非冷凝 储存条件:-20℃~50℃ 输出方式:UATR&PWM

图3-4 CO2传感器GE6603外形结构图

3.3.2 CO2气体传感器工作原理

本传感器由红外发光管、气体采样空腔、带通滤波镜等组成,其基本结构如图所示。本传感器采用分散型红外线(NDIR)技术,红外发光管发出宽频段红外光线,气体采样空腔中的CO2吸收与之相关的频谱的红外线,带通滤波镜滤除分离出与CO2相关频谱的红外光线后被红外传感器检测并转化为电信号,经放大电路放大后,进行相应补偿运算后即可得到测量气体中CO2浓度。

测量公式为:

I1?I0?e?KCLi (3-1)

其中:

I1:CO2浓度为测量值时的电流信号强度;

I0:红外光线初始入射光线强度,CO2浓度为0ppm时的电流信号强度;

K:检测气体吸收常数; C:检测气体当前浓度;

Li:红外光线在空腔中通过距离。

3.3.3 CO2气体传感器外围接口电路

CO2气体传感器外围接口电路如图3-5所示。

- 12 -

基于FPGA智能窗控制系统 VCCR2J1123SIL-100-0310kC2104uFC32.5uFR31kCO2C1104uFGNDGND 图3-5 CO2气体传感器GE6603外围接口电路图

此电路中C1、E1为电源去耦电容,CON1的2接口连接传感器模块的PWM脉冲输出端,通过计算输出方波的占空比对应PWM输出分辨率便能计算出测量环境中CO2的浓度。

3.4 GSM通信模块

3.4.1 GSM的介绍

全球移动通讯系统GSM(Global System for Mobile Communications)是由欧洲电信标准化协会(ETSI)开发的数字移动电话网络标准,是世界上第一个对数字调制、网络层结构和业务作了规定的,同时也是基于TDMA的数字蜂窝移动通信系统。GSM移动通信原理是为了解决欧洲第一代蜂窝系统四分五裂的状态而发展起来的。其目的是让全球各地共同使用一个移动电话网络标准,让用户使用一部手机就能行遍全球,也是我国目前覆盖范围最广、功能最强、用户最多的移动通信系统。GSM中的短信息业务SMS(定义于ETSI制定的标准GSM 03.40)提供的短信服务具有收费低廉、随时随地获取信息的便利。GSM模块,是将GSM射频芯片、基带处理芯片、存储器、功放器件等集成在一块线路板上,具有独立的操作系统、GSM射频处理、基带处理并提供标准接口的功能模块。

因此,GSM模块具有发送SMS短信、语音通话、GPRS数据传输等基于GSM网络进行通信的所有基本功能。

3.4.2 TC35i的介绍

在国内已经开始使用的GSM模块有Falcom的AZD系列,wavecom的翎02系列,西门子的TC35i系列,爱立信的DMIO/DM20系列,中兴的ZxGM18系列等,这些模块的功能、用法差别不大,并且提供的命令接口符合GSM07.05和GSM07.07规范。其中西门子的TC35i系列模块性价比很高,并且己经有国内的无线电设备入网证,所以综合各方面考虑本设计选用的是西门子TC35i。TC35i外形结构图如图3-6所示。

- 13 -

基于FPGA智能窗控制系统 TC35i是德国SIEMENS(西门子)公司的一款双频900/1800MHZ高度集成的GSM模块。是西门子为适应各个专业领域对无线数据传输、语音传输及可开发性的需求推出的基于GSM900移动通信网络系统的OEM模块,功能上与TC35i兼容,设计紧凑,大大缩小了用户产品的体积。TC35i与GSMZ/2+兼容、双频(GSM900/GSM1800)、RS232数据口、符合ETSI标准GSM07.07和GSMO7.05且易于升级为GPRS模块;该模块集射频电路和基带于一体,向用户提供标准的AT命令接口,为数据、语音、短消息和传真提供快速、可靠、安全的传输,接口协议公开,方便用户的应用开发及设计。在GSM网络日臻完善的今天,TC35i秉承了西门子一贯的优秀品质,它易于集成,可以在较短的时间内花费较少的成本开发出新颖的产品。在远程监控和无线公话以及无线POS终端等领域您都能看到TC35i无线模块在发挥作用,使用它是产品质量和性能的保证。

图3-6 TC35i外形结构图

模块隶属于Phase I GSM calss4,具有语音、数据、传真和中英文短信息功能,可广泛应用于移动计算、智能交通、遥感测量、银行金融和商业零售等领域。TC35i模块结构图如图3-7所示。

天线SIEMENS GSM模块 TC35i天线插FLSHGSM频射部分GSM基带处理器40芯的ZIF连接器电源ASIC

图3-7 TC35i模块的结构示意

- 14 -

基于FPGA智能窗控制系统 3.4.3 TC35i硬件原理图(见附录)

3.5 显示器模块

液晶显示模块具有体积小、功耗低、显示内容丰富、超薄轻巧等优点,在袖珍式仪表和低功耗应用系统中得到广泛的应用。目前字符型液晶显示模块已经是单片机等应用设计中最常用的信息显示器件。LCD12864实物图如图3-8所示。

带中文字库的LCD12864是一种具有4位/8位并行、2线或3线串行多种接口方式,内部含有国标一级、二级简体 中文字库的点阵图形液晶显示模块;其显示分辨率为128×64, 内置8192个16×16点汉字,和128个16×8点ASCII字符 集.利用该模块灵活的接口方式和简单、方便的操作指令,可构成全中文人机交互图形界面。可以显示8×4行16×16点 阵的汉字。也可完成图形显示。低电压低功耗是其又一显著特点。由该模块构成的液晶显示方案与同类型的图形点阵液晶显示模块相比,不论硬件电路结构或显示程序都要简洁得多,且该模块的价格也略低于相同点阵的图形液晶模块。LCD12864引脚图如图3-9 所示

图3-8 LCD12864实物图

LCD12864181716151413121110987654321-VoutRSTDB7DB6DB5DB4DB3DB2DB1DB0ER/WRSV0VCCGNDCS2CS1 图3-9 LCD12864引脚图

- 15 -

基于FPGA智能窗控制系统 1.LCD12864基本特性低电源电压(VDD:+3.0~+5.5 V ) 2.显示分辨率:128×64点

3.内置汉字字库,提供8192个16×16点阵汉字(简繁体可选) 4.内置128个16×8点阵字符

5.2MHZ时钟频率

6.显示方式:STN、半透正显 7.驱动方式:1/32DUTY,1/5BIAS 8.视角方向:6 点

9.背光方式:侧部高亮白色LED,功耗仅为普通LED 的1/5—1/10 10.通讯方式:串行、并口可选

11.内置DC-DC 转换电路,无需外加负压 12.无需片选信号,简化软件设计

13.工作温度: 0℃ - +55℃ ,存储温度: -20℃~+60℃

3.6 电机设备

本文采用两相混合式步进电机作为机械部分的驱动装置,步进电机以其结构简单、可靠性高、不累积误差、无需反馈就可构成开环系统、容易控制等优点,成为数控系统的最佳执行元件之一而得到广泛应用。混合式步进电机的分辨率较高,绕组电感较小,效率高,转矩高,震动小等特点。步进电机实物图如图3-10所示

L298N是专用驱动集成电路,属于H桥集成电路。 L298N实物图如图3-11所示。与L293D的差别是其输出电流增大,功率增强。其输出电流为2A,最高电流4A,最高工作电压50V,可以驱动感性负载,如大功率直流电机,步进电机,电磁阀等,特别是其输入端可以与单片机直接相联,从而很方便地受单片机控制。可以直接控制步进电机,并可以实现电机正转与反转,实现此功能只需改变输入端的逻辑电平。同时L298N具有体积小,控制方便的特点。因此采用此模块定会使您的电机控制自如,可以应对需要大功率直流电机。同时,我们提供带有光耦隔离的驱动模块,利用这个模块可以把单片机电源与直流电机电源隔离开,从而可以避免直流电机对单片机的干扰,适合要求较高的控制及AD采样场合。步进电机驱动电路图如图3-12所示。

- 16 -

基于FPGA智能窗控制系统 图3-10 步进电机实物图

图3-11 L298N实物图

+5v+5vC40.1uF9571012611115IN1IN2IN3IN4ENAENBVCC4VSOUT1OUT2OUT3OUT4GND8L298C5U2231314+88.80.1uFD5DIODED6DIODED7DIODED8DIODESENSASENSBR410D1DIODED2DIODED3DIODED4DIODER510 图3-12 步进电机驱动电路图

3.7 窗户机械模型模块

3.7.1 自动开关窗任务分析

智能窗的传动任务是由动力源通过传动机构带动窗扇在滑移槽内来回滑动,任务简单,但要求精确度较高。齿轮齿条传动较为准确、可靠,并且机械效率高。因此,本文选定齿轮齿条传动能满足智能窗传动任务的要求。传动形式见图3-13。

- 17 -

基于FPGA智能窗控制系统

图3-13 齿轮齿条传动

3.7.2 齿轮齿条参数选择

由于此传动所带负载不是很大,故齿轮齿条参数选取较为宽松,根据具体需要确定其主要参数为:

齿轮: m=1.5 Z=20

d=m z=30mm β=20°

P=π m=3.14×1.5=4.7mm

齿条: m=1.5

β=20°

P=π m=3.14×1.5=4.7mm

3.7.3 自动开关窗机械模型

窗户开关模块主要运用了机械设计中的齿轮传动原理。在自动开关上,主要运用了步进电机和齿轮的结合以及齿轮和齿条的结合。主要工作原理如图3-14:

图3-14 智能窗模型效果图

- 18 -

基于FPGA智能窗控制系统 4 软件设计

4.1 FPGA的开发环境与工具

FPGA(Field Programmable Gate Array), 即现场可编程门阵列。它是专用集成电路(ASIC)领域中的一种半定制电路。既解决了定制电路的不足,又克服了原有可编程器件门电路数量有限的缺点。FPGA 器件的集成度很高,并且方便易用,因而在很多领域已经得到广泛应用。

FPGA部分的实现,利用“自顶向下”的设计方法,采用VHDL硬件描述语言进行底层模块设计,并采用原理图描述方案进行顶层电路设计。在Quartus II软件环境下对设计项 目进行编译和时序仿真,最后可将程序下载到FPGA器件中硬件测试。

4.1.1 Quartus II 开发软件

Quartus II 软件是Altera公司的第四代EDA工具开发软件。具有一个完整高效的设计环境。Quartus II 提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在数百万门以上的逻辑器件的开发,并且为第三方工具提供无缝接口,即Quartus II 可以利用第三方的综合工具,如Lenardo、Spectrum、Synplify Pro、FPGA Compiler II ,并能直接调动这些工具。同样的,II 也支持第三方仿真工具,如ModelSim。此外,Quartus II 可与MATLAB的Slimulink和DSP Bulider 结合,是开发的DSP硬件系统的关键EDA工具;Quartus II 与SOPC( System On a Programmable Chip)系统。

Altera 公司的Quartus II 软件提供了可编程片上系统设计(SOPC)的一个综合开发环境。Quartus II 集成开发环境包括的内容有:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证和仿真。Quartus II 软件的优点是适合设计团队基于模块的层次化设计方法。Quartus II 设计软件为设计者提供了一个完整的多平台开发环境。它的开发流程如图4-1所示。

- 19 -

基于FPGA智能窗控制系统 建立项目文件建立设计文件Verilog文本输入N编译成功Y软件仿真映射管脚下载

图4-1 Quartus II 软件的开发流程

Quartus II 软件的典型设计流程一般可分为设计输入、综合、布局布线、时序分析、仿真、编程和配置、调试、系统级全设计,如图4-2 Quartus II设计流程:

1.设计输入:设计输入可用多种方法实现,常用的有原理图输入和 HDL 硬件描述语言两种。在设计复杂的电路系统时,可采用硬件描述语言(如 VHDL,Verilog语言等)分模块、分层次的设计方法,然后生成图形模块再进行设计。

2.综合:分析和综合使用Quartus II Integrated Synthesis 综合Verilog 或者VHDL设计文件。

3.布局布线:Quartus II Fitter 对设计进行布局布线,在Quartus II软件中是指“fitting (适配)”。Fitter使用Analysis Synthesis建立数据库,将工程的逻辑和时序要求与器件的可用资源相匹配。它将每个逻辑结构分配给最佳逻辑单元位置,进行布线和时序分析,并选定相应的互联路径和引脚分配。

4.时序分析:Quartus II TimeQuest 时序分析器和标准时序分析器可用于分析设计中的所有逻辑,并有助于指导Fitter 达到设计中的时序要求。

实验成功- 20 -

基于FPGA智能窗控制系统 设计输入功耗分析综合布局布线调试时序分析工程更改管理仿真时序逼真编程和配置

图4-2 Quartus II设计流程

5.仿真:可以使用EDA仿真工具或Quartus II Simulator 对设计进行功能与时序的仿真。

6.编程和配置:使用Quartus II 软件成功编译工程后,就可以对Alter器件进行编程或配置。

7.系统设计:Quartus II 软件支持SOPC Builder和DSP Bulider 系统设计流程。系统设计流程可以使工程师能够以更高级的抽象概念快速设计、评估可编程芯片系统(SOPC)体系结构设计。

4.1.2 硬件描述语言(HDL)

硬件描述语言(Hardware Description Language,简称 HDL),是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从抽象到具体逐层描述自己的设计思想,把设计模块化。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。再用专用集成电路 ASIC 或现场可编程门阵列 FPGA 自动布局布线工具,把网表转换为要实现的具体电路布线结构。目前,最常用的硬件描述语言是 VHDL 和 Verilog HDL 语言,它们都是 IEEE标准。

VHDL即甚高速集成电路硬件描述语言。这个语言的目的旨在使电路文本化成为标准,目的是为了使文本描述的电路设计能够为其他人所理解,同时也可以作为一种模型语言并能通过软件进行仿真。

1.VHDL具有较强的功能特点: (1)具有较强的描述能力 (2)具有共享和复用的能力

(3)具有独立于器件和工艺设计的能力 (4)具有良好的可移植能力

- 21 -

基于FPGA智能窗控制系统 (5)具有良好的性能评估能力 (6)具有向ASIC移植的能力

Verilog HDL是硬件语言描述的一种,用于数字电子系统设计。它允许设计者用它来进行进行各种级别的逻辑设计,可以用它来进行数字逻辑系统的仿真验证、时序分析、逻辑综合。它是目前应用最广泛的一种硬件描述语言之一。

Verilog HDL和VHDL作为描述硬件电路设计的语言的优异性对比:

1.共同点,Verilog HDL和VHDL作为描述硬件电路设计的语言,其共同特点在于: (1)能形式化地抽象表示电路的结构和行为。 (2)支持逻辑设计中层次与领域的描述。

(3)可借用高级语言的精巧结构来简化电路的描述。 (4)支持电路描述由高层到低层的综合转换。 (5)便于文档管理,易于理解和设计重用。

2.不同点,Verilog HDL和VHDL作为描述硬件电路设计的语言,其不同点在于: (1)Verilog HDL拥有更广泛的设计群体,成熟的资源也远比VHDL丰富。 (2)与VHDL相比,Verilog更为容易掌握 (3)掌握VHDL设计技术比较困难

(4)Verilog HDL在系统级抽象方面比VHDL略差一些,而在门级开关电路描述方面比VHDL强很多

4.2 控制器对DS18B20操作

基于DS18B20数字温度传感器的软件设计采用Verilog HDL编写程序,主要完成DS18B20的测量温度值计算及温度值的显示功能。其采用模块化设计,程序设计包括系统初始化、控制器发送ROM指令、读取数据、DS18B20的写操作。 1.系统初始化:

(1)先将数据线置高电平“1” ;

(2)延时(该时间要求的不是很严格,但是尽可能的短一点); (3)数据线拉到低电平“0” ;

(4)延时750μs(该时间的时间范围可以从480-960μs); (5)数据线拉到高电平“1” ;

(6)延时等待(如果初始化成功则在15-60ms时间之内产生一个由DS18B20所返回的低电平“0”。据该状态可以来确定它的存在,但是应注意不能无限的进行等待,不然会使程序进入死循环,所以要进行超时控制);

①若CPU读到了数据线上的低电平“0”后,还要做延时,其延时的时间从发出的高电平算起(第(5)步的时间算起)最少要480μs; ②将数据线再次拉高到高电平“1”后结束。 2.控制器发送ROM指令:

ROM指令共5条,每一个工作周期只能发一条,读ROM,匹配ROM,跳跃ROM,查找ROM和报警查找。一般只挂接单个18B20芯片时可以使用跳过ROM指令;

- 22 -

基于FPGA智能窗控制系统 3.控制器发送存储器操作指令:

4.在ROM指令后,紧接着就是发送存储器操作指令了:

(1)温度转换指令:44H,启动DS18B20进行温度转换,将温度值放入RAM的第1、2个地址;

(2)读暂存器指令:BEH,从RAM中读数据,读地址从0开始,一直可以读到9,可只读前两个字节;

(3)写暂存器指令:4EH,将数据写入暂存器的TH、TL字节;

(4)复制暂存器指令:48H,把暂存器的TH、TL字节写到E2RAM中; (5)重新调E2RAM 指令:B8H,把E2RAM中的TH、TL字节写到暂存器TH、TL字节; (6)读电源供电方式指令:B4H,启动DS18B20发送电源供电方式的信号给主CPU。 5.若要读出当前的温度数据,需要执行两次工作周期,第一个周期为复位,跳过ROM指令,执行温度转换存储器指令等待500μs温度转换时间。紧接着执行第二个周期为复位,跳过ROM指令,执行读RAM的存储器,读数据;

6.DS18B20的写操作:在写数据时,前15μs总线需要是被控制器置低电平,而后则将是芯片对总线数据的采样时间,采样时间在15-60μs,采样时间内,如果控制器将总线拉高则表示写1,如果控制器将总线拉低则表示写0。每一位的发送都应该有一个至少15μs的低电平起始位随后的数据0或1应该在45μs内完成。整个位的发送时间应该保持在60-120μs,否则不能保证通信正常进行。如图4-3 DS18B20的写时序图所示。

图4-3 DS18B20的写时序图

7.DS18B20的读操作:读时序时也是必须先由主机产生至少1μs的低电平,表示读时间的起始。随后在总线被释放后的15μs中DS18B20会发送内部数据位。注意必须要在读间隙开始的15μs内读数据为才可以保持通信的正确。通信时,字节的读或写是从高位开始的,即A7到A0。控制器释放总线,也相当于将总线置1。如图4-4 DS18B20的读时序图。

部分代码如下:

- 23 -

基于FPGA智能窗控制系统

图4-4 DS18B20的读时序图

module Temperature (

input clk, // 50MHz时钟 input rst_n, // 异步复位

inout one_wire, // One-Wire总线

output [15:0] temperature // 输出温度值 );

reg rst_;

reg [19:0]count; always@(posedge clk) begin

if(count<20’h80000) begin

rst_n<=1;

count<=count+1; end

else if(count<20’h8ffff) begin

rst_n<=0;

count<=count+1; end else

rst_n<=1; end

4.3 实现电机动作

根据本次设计的目的电机驱动部分采用两相四线步进电机,L298N 作为运动驱动芯片;

- 24 -

基于FPGA智能窗控制系统 PIN1至PIN4为信号线,步进电机每转过一格即5.625度需要8拍完成,即PIN1至PIN4,依次给信号: 0001-0101-0100-0110-0010-1010-1000-1001,则步进电机转过一格(5.625度)。如果需要转过多格,则只需要重复给这8拍信号即可。如果步进电机需要反转,则只需要倒着给脉冲信号,即PIN1至PIN4,依次给信号::

1001-1000-1010-0010-0110-0100-0101-0001,即可倒转一格(-5.625度)。如图4-5 步进电机控制的状态图所示。

用L298N驱动步进电机,L298N 工作电压方式为直流,直流电动机采用PWM信号平滑调速。其工作原理为L298N可以驱动两台直流减速电机。通过正转和反转,控制智能窗的打开和关闭。在Verilog中,采用状态机控制步进电机较为方便。定义方向控制变量input aspect[0:1],当aspect的值为10时,电机状态在外圈沿顺时针方向转换,即电机正转;当aspect的值为01时,电机状态在外圈沿顺时针方向转换,即电机逆转;当aspect为其他值时,电机回到且保持在中心状态0000。

10000101Others100110Others1001011001Others01100010101010OthersOthers01010010100000OthersOthers01Others100101011001000110 图4-5 步进电机控制的状态图

部分代码如下:

module STEP(clk,aspect,left_stop,right_stop,step_motor); input clk; //系统时钟

input [1:0] aspect; //open:10; close:01; input left_stop; //上升沿触发关窗停止 input right_stop; //上升沿触发开窗停止 output reg [3:0] step_motor; //内部寄存器 reg [2:0] step; reg clk500;

- 25 -

stop:00;

基于FPGA智能窗控制系统 reg [15:0] STEP_cnt; //状态定义

parameter step0=4’h1; parameter step1=4’h5; parameter step2=4’h4; parameter step3=4’h6; parameter step4=4’h2; parameter step5=4’ha; parameter step6=4’h8; parameter step7=4’h9;

//*************************代码开始****************************** //时钟分频

always @(posedge clk) begin

STEP_cnt<=STEP_cnt+1; if(STEP_cnt==16’d50000) clk500<=~clk500; end

always @(posedge clk500) begin

step<=step+1; end

//control pace. cnt is larger,motor is slower

4.4 实现中文短信发送

GSM无线通信模块收发信息主要由3部分组成:初始化、发送短消息、接收短消息,其流程如图4-6、图4-7所示。

4.4.1 无线通信模块初始化

初始化中应注意以下几点:

1.在启动TC35I时,必须给IGT加一个大于100ms的低脉冲,电平下降持续时间不可超过1ms ,由OC、OD门驱动;

2.在发送AT指令之前必须先建立AT连接,当系统接受到OK时说明连接成功TC35i能够正常工作,这时就可以测试各类AT命令。

- 26 -

基于FPGA智能窗控制系统 开始启动TC35i模块建立AT连接设置短信模式设置短信中心地址设置短信接收模式结束 图4-6 TC35i初始化程序流程图

4.4.2 无线通信模块发送短信息

发送短信息部分分为两种情况讨论:

1.当TC35i处于PUD模式下时,则AT+CMGS=本短消息发送字符总长度; 2.当TC35i处Text模式下时,则AT+CMGS=目的手机号码;

其中无论TC35i处于哪种模式下在建立AT+CMGS连接时,当系统发出AT+CMGS指令时,只有当系统接受到“>”字符时,才能说明AT+CMGS连接成功。如图4-7 TC35i短信发送程序流程图所示。

- 27 -

基于FPGA智能窗控制系统 开始建立AT+CMGS连接N返回“>”字符Y发送短信内容发送接收符号0x1A结束

图4-7 TC35i短信发送程序流程图

4.4.3 无线通信模块接收短信息

本系统是采用串行中断来接收短信息的,所以系统在初始化时必须先设置好波特率和串行中断初始化。在接收信息时应注意,接收短信的内容是从接收数据的第51个字节开始的。如图4-8 TC35i短信接收程序流程图。

开始关中断接收数据Y数据有误N存储数据开中断结束

图4-8 TC35i短信接收程序流程图

- 28 -

基于FPGA智能窗控制系统 5 应用与推广

5.1 智能窗的基本应用

随着科技的发展,人们生活水平的日益提高,智能窗的应用领域将变得越来越广。从普通的居民楼、教学楼到高级酒店、别墅、大型商务楼,智能窗的优势都将突显得淋漓尽致。下面从该项目所设计的智能窗的应用方面对它进行介绍:

多样性、可选择度广:

由于整套系统结构简单,所运用的模块技术成熟,用户完全可以根据自己的需求以及所处环境选择所需功能。例如,在湿度较大的南方,可选择具有湿度检测功能的智能窗;对于使用液化气的用户,则可选择能够实时监测室内有害气体含量的智能窗;而对于担心家庭财产安全的用户,则可选择配备红外线报警系统的智能窗。有了多种功能的搭配,满足了用户的不同需求。

1.低碳环保、节能高效:

该系统的核心控制芯片Cyclone IV系列EP4CE6F17C8N的内核所需电压进位1.7V,极低功耗。在程序设计上,设计了节能模式,当系统没有要执行的任务时,使系统在工作在待机状态,耗电量更低。

2.安装方便、造型美观:

智能窗系统中的传动装置采用齿轮齿条电动开窗器,结构简单、小巧,易于安装,同时它采用铝合金外壳,使其安装后美观且不影响窗户的采光。而且该套系统广泛用于推拉式窗户,安装时不需改变窗体的构造,这样极大地提升了它的实用性。

3.远程控制:

独特的GSM通讯系统。现在的人们生活越来越离不开手机,这使通信智能窗应用更加便捷。对于远在外地出差的人,通过短信和智能窗“对话”,便可了解室内环境的实时状况;对于上班一族,回家之前开窗通风,可为自己和家人营造一个良好的居住环境。

4.应急功能:

智能系统需要依赖电源为其提供能量,当出现停电这种特殊状况时,外接的蓄电池将立即为系统提供长达72小时的电能供应,使智能窗继续为人们提供服务。

5.2 智能窗的推广及演变

对这套系统稍加修改,使之适用于更多场所,例如智能语音、智能照明及智能隔音等,一步一步向更高层次的智能化前进。下面将从几个具体例子对其进行介绍。

在高档商务建筑方面的应用:传统商务建筑楼宇智能化的概念主要表现为对整个建筑公共区的照明、中央空调及给排水进行控制和检测,起到节约能源的功效。作为智能窗系统的演变,可精细到对每个办公区域光源的发光时间、亮度的控制,使其适应不同的办公环境;根据每个办公区域对温度要求的不同,可自动调节到舒适的环境温度;根

- 29 -

基于FPGA智能窗控制系统 据对每个办公区域空气质量的监测,可自动提供新鲜空气补给。这样,在提升商务工作环境和工作群体健康的基础上,将达到更加节能环保的目的。

在高档住宅和豪华别墅方面的应用:家庭对每个人来说永远是温馨的港湾。将智能窗的设计理念应用于此,可使人们通过办公室电脑远程操控家电设备,提供一站式服务。也可通过智能手机可视操控室内监控,起到贴身卫士的功效。系统在提升人们生活品位和保卫家庭安全的同时,更注重了生活质量的提高。

在医院病房方面的应用:医院的作息时间相当严格,病房内的公用照明有统一的开启和关闭时间,病房内的环境也有严格的恒温恒湿要求,当这些“规矩”被破坏时,病房内的病人只能通过按铃或对讲的方式来告知医护人员。而由此演变出的智能系统能够提供整套的医院病房智能控制解决方案,对病房内和走廊内的公用照明提供定时定点控制,将保证病人的正常休息时间,对病房内的环境进行恒温恒湿调节,将避免能源的浪费。

对学校教学楼方面的应用:在校园中,每幢教学楼都有管理员,智能窗、智能门以及智能照明的应用将使得管理员更方便的对每间教室进行管理,将实现管理员在监控室内就可以控制整幢楼教室门窗的开关以及照明灯的亮灭,避免了以往逐一排查带来的麻烦,让管理更加便捷、高效。

- 30 -

基于FPGA智能窗控制系统 6 结论

本设计总体方案采用FPGA Cyclone IV系列的EP4CE6F17C8N作为核心控制芯片,由L298N作为电机驱动的两相四线步进电机拖动窗体完成开关动作,通过DS18B20温度传感器进行温度数据的采集及显示、GE6603 CO2气体传感器进行CO2气体的监测及显示等相关环境参数的采集,由GSM通信模块TC35i实现远程控制的功能。从而以相对稳定的性能实现智能窗户的远程操作以及其自动控制调节功能,为人们营造出一个更加舒适便捷的智能的生活环境。

由于此次的设计所选不同传感器都具有其相应灵敏度以及误差范围,因此我们在人为设计电路以及传感器布局时要充分考虑这些影响因素,从而设计出符合人类市场需求的最佳参数的智能窗。对于此次设计由于本人能力有限,并没有完全充分的实现智能窗的最佳效果,即没有充分的发挥当环境变化,智能窗进行实时灵敏的调节。

因此对于我们此次的设计,仅仅相当于完成智能窗控制系统所需要的功能中的一小部分,在智能窗研究的路上将会有更多的技术突破及创新。然而我们所需要的意识到的就是在本次的设计中,依然存在很多需要我们不断完善的地方。然而也正是因为有这些问题的存在才能不断的激励我们去发明创造。我相信只要我们不断地坚持与付出,就一定能取得质的突破。从而不断地使我们的生活更加美好。

- 31 -

基于FPGA智能窗控制系统 参考文献

[1] 张为民.温湿度测控系统中的智能控制器研制[J],西北民族大学学报(自然科学版),

2004(02):32-33.

[2] 黄继昌.电子元器件应用手册[M],北京:人民邮电出版社,2004. [3] 倪天龙.单总线传感器DHT11在温湿度测控中的应用[J],单片机与嵌入式系统应用,

2010(06).

[4] 潘小青.气体传感器及其发展[J],东华理工学院学报(自然科学版),2004. [5] 康惠林.一种实用两相混合式步进电机细分驱动电路[J],电气传动,2011(05). [6] 胡学海.单片机原理及应用系统设计[M],北京:电子工业出版社,2005.

[7] 雷伏容.51单片机常用模块设计查询手册[M],北京:清华大学出版社,2010. [8] 林土胜.单片机技术及工程实践[M],北京:机械工业出版社,2010. [9] 高惠芳.单片机原理及应用技术[M],北京:科学出版社,2010. [10] 赵继文.传感器与应用电路设计[M],北京:科学出版社,2002.

[11] 李人厚.智能控制理论和方法[M],西安:西安电子科技大学出版社,1999. [12] 庄渊昭.实用智能窗帘机的设计[J],现代电子技术,2008(4):181-183. [13] 张萍.基于数字温度计DS18B20的温度测量仪的开发[J],自动化仪表,2007(6):64-66. [14] 金伟正.单线数字温度传感器的原理与应用[J],电子技术应用,2000(6):66-68. [15] 赵海兰,赵祥伟.智能温度传感器DS18B20的原理及应用[J],现代电子技术,

2003(14):32-34.

[16] 杨度城.风速传感器介绍[D],哈尔滨工程大学,2007.

[17] 余皓.新型多路可燃气体检测电子鼻[J],仪表技术与传感器,2002. [18] 赵亮.液晶显示模块LCD1602应用[J],电子制作,2011(07).

[19] 娄云,李清林,刘双成.汽车自动关窗控制器的设计研究[D],2005.

[20] 李硕,贾子庆,张明玮.基于多传感器的智能窗系统设计[J],电子设计工程,2011,

04(19).

[21] 姚远,李辰.FPGA应用开发入门与典型实例(修订版)

[22] 王立文,邵晓根,席建中,黄为勇,高玉芹.LCD1602在温室CO2增施控制器中的

应用[J],安徽农业科学,2008,36(33).

[23] 金伟正.单线数字温度传感器的原理与应用[J],电子技术应用,2000(6):66-68.

[24] 徐文进,张阿卜.知能温度传感器DS18B20在多路测湿中的应用[J],现代电子技术,

2004,27(22):3-47.

[25] 唐立伟,刘理云.2009,基于 PLC 的智能温室综合控制系统的研发.自动化技术与应

用,(7):106-108.

[26] 吴厚航.2010,深入浅出玩转 FPGA.北京:北京航空航天大学出版社:34.

[27] 赵泓扬.2009,基于 FPGA 的数据采集系统的设计.常州工学院学报,22(1/2):34-36. [28] H.M.Dwitel,Chow To Program Fourth Edition[M].USA:清华大学出版社,2007.

- 32 -

基于FPGA智能窗控制系统 [29] Rojas-Cárdenas M,Graur I,Perrier P,et al. Time-dependent experimental analysis of a

thermal transpiration rarefied gas flow[J]. Physics of Fluids,2013,25(7):137-137. [30] Cui H,devices E,films M,et al. Optical Multilayered Coatings for Application of Smart

Windows[J]. http://www.vdm-verlag.de,2009.

[31] M. Svensson J S E,G. Granqvist C. Electrochromic Coatings For \

Solar Energy Materials,1985,12:391–402. DOI:10.1117/12.944782.

[32] TMS320VC33 Digital Signal Processor (Rev. E),Texas Instruments Incorporated,

February 1999,P38-41.

[33] JTAG/MPSD Emulation Technical Reference,Texas Instruments Incorporated,December

1994,P45-47.

- 33 -

基于FPGA智能窗控制系统 致 谢

伴随着历时半年的毕业设计的结束,我也即将告别我的大学生活。在本次设计中首先非常感谢我们学校以及我们电气与信息学院的各位老师,是因为学校及学院的各种老师的支持与帮助才得以让我的毕业设计顺利完成。

与此同时我也要特别感谢崔天时老师,本次设计是在崔天时老师指导下完成的。他富有创造性的思维为我的研究工作提供了许多解决问题的思路,渊博的学识和严谨的治学态度使我受益匪浅,这必将对我今后的学习和工作产生深远的影响。

最后也要感谢同学们的支持与帮助。谢谢大家!

- 34 -

本文来源:https://www.bwwdw.com/article/fkm6.html

Top