八位串行输入串行输出冒泡排序(verilog)
更新时间:2024-04-05 15:46:01 阅读量: 综合文库 文档下载
实现功能:八位串行输入串行输出冒泡排序 源文件:
module bubble_sort(clk,rst,Load,Sort,Send,Data_in,Data_out); input clk,rst,Load,Sort,Send; input [7:0]Data_in; output reg[7:0] Data_out; reg[7:0] A[1:8]; reg[3:0]k; reg[3:0]i,j; reg [2:0]state,nstate; parameter S_rst=3'd0; parameter S_init=3'd1; parameter S_idle=3'd2; parameter S_load=3'd3; parameter S_prep=3'd4; parameter S_sort=3'd5; parameter S_wait=3'd6; parameter S_send=3'd7;
always@(posedge clk or posedge rst) begin end //状态切换
always@(state or Load or Sort or Send or i or k) begin
case(state)
S_rst:
begin end
nstate=S_idle; begin end
if(Load==1'b1)
nstate=S_load; nstate=S_prep; else nstate = S_idle; else if(Sort==1'b1)
nstate=S_init;
if(rst) else
state<=nstate; state<=S_rst;
S_init: S_idle:
end
S_load:
begin end
nstate=S_sort; begin end begin end begin end
nstate=S_rst; if(k==4'd8) else
nstate=S_send; nstate=S_init; if(Send==1'b1) else
nstate=S_wait; nstate=Send; if(i<=j) else
nstate=S_wait; nstate=S_sort; nstate=S_sort; nstate=S_send; else if(i<=4'd8) else if(Send==1'b1) if(k==4'd8) else
nstate=S_load; nstate=S_init;
S_prep: S_sort:
S_wait:
S_send:
default:
endcase
//数据传送
always@(posedge clk) begin
case(state)
S_rst: ;
S_init:
begin end begin end begin end begin end begin
if(i<=j)
if(A[j-1]>A[j])
begin
A[j]<=A[j-1]; A[j-1]<=A[j]; j<=j-1'b1;
if(A[j-1]>A[j])
begin end
A[j]<=A[j-1]; A[j-1]<=A[j]; j<=j-1'b1;
if(k<4'd8)
begin end
k<=k+1'b1; A[1]<=Data_in; A[2]<=A[1]; A[3]<=A[2]; A[4]<=A[3]; A[5]<=A[4]; A[6]<=A[5]; A[7]<=A[6]; A[8]<=A[7];
if((Load==1'b0)&&(Sort==1'b1))
begin end
j<=4'd8; i<=4'd2;
k<=4'd0;
S_idle:
S_load:
S_prep:
S_sort:
end
end
else
end j<=j-1'b1;
else if(i<=4'd8)
begin end k<=4'd0;
j<=4'd8; i<=i+1'b1;
else if(Send==1'b1)
S_wait:
if(Send==1'b1)
k<=4'd0;
S_send:
begin end
if(k<4'd8)
begin end
k<=k+1'b1; A[1]<=8'd0; A[2]<=A[1]; A[3]<=A[2]; A[4]<=A[3]; A[5]<=A[4]; A[6]<=A[5]; A[7]<=A[6]; A[8]<=A[7]; Data_out<=A[8];
default: ;
endcase
//end
Endmodule
测试文件:
`timescale 1 ns/ 1 ps module bubble_sort_vlg_tst(); reg [7:0]Data_in; reg Load; reg Send; reg Sort; reg clk; reg rst;
wire [7:0]Data_out; bubble_sort i1 ( ); initial begin Data_in=8'd0; clk=1'b0;
forever #10 clk=~clk; $display(\end initial begin
rst=1'b1;Load=1'b0;Sort=1'b0;Send=1'b0; #100 rst=1'b0;Load=1'b1; #60 Data_in=8'd37; #20 Data_in=8'd29; #20 Data_in=8'd01; #20 Data_in=8'd19; #20 Data_in=8'd89; #20 Data_in=8'd10; #20 Data_in=8'd12; #20 Data_in=8'd182; #20 Load=1'b0; Sort=1'b1; Send=1'b1; #2000 $stop; end endmodule 仿真结果:
.Data_in(Data_in), .Data_out(Data_out), .Load(Load), .Send(Send), .Sort(Sort), .clk(clk), .rst(rst)
输入数据波形
输出数据波形
正在阅读:
A33王樵《管理者如何进行沟通与激励》06-02
地质遥感文献综述10-11
统计学模拟试04-13
光学冷加工毕业设计01-27
谨记!安装漏电火灾报警系统刻不容缓01-31
机械设计课程设计-皮带运输机传动装置二级减速箱设计说明书09-14
兄弟HL2140说明书 - 图文10-25
- 人教新课标必修4 Unit2 Working the land名师导航
- 毕业生“校漂族”大行其道 - 0
- 江苏各市中考作文题出炉 - 0
- 暑期精品班 - 三角形 - 图文
- 情人节送什么礼物好??超强礼物已抵达
- 工程项目管理制度1
- 第四次业务学习 2016
- 会计要素与会计科目
- 欠发达地区小企业会计准则运用问题研究
- 一级锅炉水G4题库
- BBD双进双出筒式磨煤机安装使用说明书 SM-1
- 初一数学有理数教案
- 渝北区房地产评估市场调研报告
- iWebMall 数据字典
- 2018年小学入学教育工作计划
- 计量专业实务与案例分析 - 模拟题三 - 2013年版
- 启示录讲义
- 路基灰土改良(方案)
- 人行反洗钱岗位准入培训测试题集
- 2015电大《学前儿童发展心理学》期末试题及答案
- 串行
- 冒泡
- 排序
- 输出
- 输入
- verilog
- 我对恐怖主义的看法
- 2019秋高中历史 第5单元 中国近现代社会生活的变迁 16 大众传媒
- 山东省济南市育英中学2016秋七年级语文能力竞赛试卷
- 学习李林森等先进人物事迹心得
- 2016-2017学年江苏省南通市海安高级中学高一下学期期末考试数学
- 紫藤萝瀑布 第一学时 公开课教学设计
- 旅游心理学练习题
- 机关事业单位工作人员休假请假制度(暂行)
- 浅谈建筑师的职业素养
- 七年级语文上册第三单元整理课教学设计及反思肖春华
- 党支部的八项基本任务
- 华东理工大学电话黄页
- 郑州市科学技术进步奖励办法
- 学院简介
- 关于举办第五个五年管理周期2015年度乌鲁木齐地区中小学(幼)教
- 基于Vensim PLE啤酒游戏仿真实验报告
- 通用定时器秒表实验报告三
- 公共管理前沿文献综述
- 康复治疗中预防并发症、二次残疾的措施
- 人教版小学语文五年级下册第六单元检测题