通信原理匹配滤波器课程设计报告内容

更新时间:2023-12-03 14:44:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

成都学院(成都大学)课程设计报告

目 录

第1章 绪论 .............................................................. 1

1.1 课题背景和意义 ................................................... 1 1.2 课程设计内容 ..................................................... 1 第2章 最佳接收机概述 .................................................... 2

2.1 最佳接收机的结构 ................................................. 2 2.2 匹配滤波器传输特性 ............................................... 2 2.3 匹配滤波器的结构 ................................................. 3 2.4 最佳接收机的误码性能 ............................................. 4

2.4.1 最佳接收机的误码性能分析 ................................... 4 2.4.2 最佳接收机与非最佳结构的比较 ............................... 5

第3章 最佳接收机的MATLAB实现 ........................................... 7

3.1 设计思路 ......................................................... 7 3.2 实现过程 ......................................................... 7

3.2.1 数字信号输入模块的实现 ..................................... 7 3.2.2 数字信号处理模块的实现 ..................................... 9 3.2.3 数字输出模块的实现 ........................................ 10 3.3 仿真结果 ........................................................ 10 3.4 仿真结果分析 .................................................... 11 第4章 最佳接收机的VHDL实现 ............................................ 12

4.1 设计思路 ........................................................ 12 4.2 实现过程 ........................................................ 13

4.2.1 信号发生电路的实现 ........................................ 13 4.2.2 定时电路的实现 ............................................ 13 4.2.3 匹配滤波电路的实现 ........................................ 14 4.2.4 判决电路的实现 ............................................ 15 4.3 仿真结果 ........................................................ 15 4.4 仿真结果分析 .................................................... 16 第5章 结束语 ........................................................... 17 参考文献 ................................................................ 18 附录一 最佳接收机MATLAB代码 ........................................... 19 附录二 最佳接收机VHDL代码 ............................................. 21

II

成都学院(成都大学)课程设计报告

第1章 绪论

1.1 课题背景和意义

近十几年来,随着计算机,人工智能,模式识别的信号处理等技术的飞速发展,数字通信系统得到了广泛的应用,主要是因为数字通信有以下优点: (1)数字信号便于存储、处理 、抗干扰能力强; (2)数字信号便于交换和传输;

(3)可靠性高,传输过程中的差错可以设法控制; (4)数字信号易于加密且保密性强;

随着通信技术的飞速发展, 提高数字通信的可靠性是人们一直关心的现实问题,数字信号在信道的传输过程中,会受到噪声干扰,虽然人们可以通过信道编码降低传输过程中的误码率,但是噪声仍然是不可避免的,由于信道中噪声干扰而引起数字信号波形失真,在接收端会产生误判。在通信系统的理论分析中,特别是在分析、计算系统抗噪声性能时,经常假定系统中信道噪声(即前述的起伏噪声)为高斯型白噪声。其原因在于,一是高斯型白噪声可用具体的数学表达式表述,便于推导分析和运算;二是高斯型白噪声确实反映了实际信道中的加性噪声情况,比较真实地代表了信道噪声的特性。因此接收系统的抗噪声能力决定了一个通信系统的优劣,国内外的专家学者一直致力于研究在随机干扰存在的情况下如何最好地接收数字信号。

1.2 课程设计内容

一个数字通信系统的接收设备可以视作一个判决装置,它由一个线性滤波器和一个判决电路构成,如图1.1所示,线性滤波器对信号处理,输出某个物理量提供给判决电路,以便判决电路对接收信号中所包含的某个发送信号作出尽可能正确的判决,理论和实践都已证明:在高斯白噪声干扰下,如果滤波器的输出端在某一时刻上使信号的瞬时功率与白噪声平均功率之比达到最大,就可以使判决电路出现错误判决的概率最小,这样的线性滤波器的称为匹配滤波器。所以匹配滤波器是最大输出信噪比意义下的最佳线性滤波器,用匹配滤波器构成的接收机是满足最大输出信噪比准则的最佳接收机,也称匹配滤波接收机。在白噪声条件下,这样的接收机能得到最小的误码率。

线性滤波器 判决电路 图1-1 简化的接收机结构

本课程设计主要内容为通过研究加性高斯白噪声信道下用匹配滤波器构成的最佳接收机的结构及其抗噪声性能,分别用MATLAB和VHDL语言实现最佳接收机的设计与实现。

1

成都学院(成都大学)课程设计报告

第2章 最佳接收机概述

已知的最佳接收机结构分为多种,但最常用的是由匹配滤波器构成的最佳接收机,本章节主要介绍匹配滤波器的原理和最佳接收机的结构。

2.1 最佳接收机的结构

二元数字信号的最佳接收机框图如图2-2所示。

发送段在任意一个码元间隔内发送两个波形s1(t)、s2(t)中的一个,接收机上、下两个支路的匹配滤波器分别对这两个波形匹配,所以当发送端发送波形s1(t)时,上支路匹配滤波器在取样时刻t0输出最大值kE,当发送端发送波形s2(t)时下支路匹配滤波器在取样时刻t0输出最大值kE,而与接收信号不匹配的滤波器在取样时刻输出的值小于kE。所以判决器的任务是根据上、下两支路取样值的大小进行判决,如上支路取样值打大,认为接收到的信号为s1(t);如下支路取样值大,认为接收到的信号为s2(t)。

s1(t)的匹配滤波器 t?Tsx(t)判决 输出 s2(t)的匹配滤波器 t?Ts

图2-1 由匹配滤波器实现的最佳接收机结构

2.2 匹配滤波器传输特性

设匹配滤波器的输入信号为x(t),x(t)是由接收信号s(t)和噪声n(t)两部分构成,即

x(t)?s(t)?n(t),在表达式中n(t)是白噪声,双边功率谱密度为Pn(f)?no/2,而信号s(t)的频谱函

数为S(f)。

根据线性叠加原理,匹配滤波器的输出 也由信号so(t)和噪声no(t)两部分构成,即

y(t)?so(t)?no(t) (2-1)

设so(t)的频谱为 ,根据信号与系统理论得

2

成都学院(成都大学)课程设计报告

So(f)?S(f)H(f) (2-2)

求S(f)的傅里叶反变换,可得到输出信号so(t)为

so(t)??S(f)H(f)ei2?ftdf (2-3)

???输出噪声t0的功率谱密度为

Pno(f)?匹配滤波器在t0时刻的输出信号值为

N0|H(f)|2 (2-4) 2so(t)??S(f)H(f)ei2?ftdf (2-5)

???则在t0时刻输出信号的瞬时功率为so(t0),输出噪声平均功率为

2Pn??所以t0时刻输出的信噪比为

N02H(f)df (2-6) ??2?ro?so(t0)Pn2?????X(f)H(f)e?j2?ft02dfN02H(f)df???2?? (2-7)

根据许瓦兹不等式

|?X(f)Y(f)df|??|X(f)|df?|Y(f)|2df (2-8)

???????22可以得到

r0?????|X(f)|2dfN02?2EsN0 (2-9)

当H(f)?KX(f)*e?j2?ft0时等式成立,这就是所要求的匹配滤波器的传输特性,由上式可知,输出

信噪比最大的滤波器的传输特性与信号频谱的共轭成正比,故这种滤波器称为匹配滤波器。

2.3 匹配滤波器的结构

匹配滤波器的冲激响应为

H(f)?KX(f)*e?j2?ft0 (2-10)

两边取傅立叶反变换,得到

h(t)?Kx(t0?t)* (2-11)

如果输入信号x(t)是实信号,则h(t)?Kx(t0?t)。

3

成都学院(成都大学)课程设计报告

假设符号的传输速率

1,则在接收端同样地我们需要每隔Ts时间进行一次判决,因此我们希Ts望在每Ts时刻的输出信噪比最大,将上述的t0用Ts带入,得到匹配滤波器如下:

h(t)?Kx(Ts?t) (2-12)

当接收端输入为s(t)?x1(t)?n(t)时,在相对于x1(t)的匹配滤波器端输出信号

r(t)??s(?)h(t??)d???[x1(?)?n1(?)]Kx1(t?Ts??)d?

00TsTs ?K?Ts0x1(?)x1(??t?Ts)d???Kn1(?)x1(t?Ts??)d? (2-13)

0Ts当t?Ts时,得到

r(Ts)?K?x1(?)x1(?)d??K?n1(?)x1(?)d?

00TsTs?K?s(t)x1(t)dt (2-14)

0Ts式(2-14)说明相对于x1(t)匹配滤波器的输出信号在形式上与输入信号和x1(t)乘积的积分相同,则匹配滤波器在取样时刻的输出值可以用乘积与积分这样的相关运算来求得,匹配滤波器的实现过程如图2-1所示。

x(t) × ?Ts0dt s0(t) s(t)

图2-2 匹配滤波器的实现过程

2.4 最佳接收机的误码性能

2.4.1 最佳接收机的误码性能分析

由于噪声的影响,最佳接收机在判决时也会发生错判,接收机发生错判的可能性大小用误码率来衡量,由图2-1可知,判决器的任务就是比较上,下两支路积分值的大小并作出判决。其判决规则如下:

(1) 如果上支路积分值大,判为s1(t); (2) 如果下支路积分值大,判为s2(t);

由于噪声的影响,判决器会发生错误判决,有两种错判情况:

(1) 当发送端发送s1(t),判决器判为s2(t),此误码率记为P(s2/s1);

4

成都学院(成都大学)课程设计报告

(2) 当发送端发送s2(t),判决器判为s1(t),此误码率记为P(s1/s2); 根据全概率公式,最佳接收机的平均误码率为

Pe?P(s1)P(s2/s1)?P(s2)P(s1/s2) (2-15)

下面我们首先求发出s1(t)判成s2(t)的概率,令判决量V为上支路积减下支路的积分值,由图2-1和图2-2可知V的表达式为:

V??[s1(t)?n(t)]s1(t)dt??[s2(t)?n(t)]s2(t)dt (2-16)

00TsTs当V<0时,判决其判为s2(t),发生错判,所以V<0的概率就是发s1(t)错判成s2(t)的概率,V是一个随机量,想要求P(s2/s1),就得求出V的概率密度函数。

因为噪声n(t)是零均值的高斯噪声,而V仅是n(t)的积分运算,所以V是一个高斯随机变量,V的均值为a?Es(1??),其中Es??s0Ts21?(t)dt是信号s(t)的能量,??1Ts0s1(t)s2(t)dtTs0?s(t)dt21。V的方差?2为

?2?no(1??)Es。

所以高斯随机变量V的概率密度函数为

1(??a)2f(?)?exp[?] (2-17)

2?22??当两个信号s1(t)和s2(t)等概且等能量时,发s1(t)错判成s2(t)的概率等于s2(t)错判成s1(t)的概率,则由平均误码率公式可得最佳接收机的误码率:

Pe?2.4.2 最佳接收机与非最佳结构的比较

1(1??)Eserfc() (2-18) 22no最佳系统与普通接收机两者之间的差别在普通接收机并没有充分利用码元时间内的信号,而只是取了其中的一个点作为判决,而最佳接收机充分利用了整个码元时间内的信号(信息)。

在理想情况下(即信道是无限宽的),两者是等价的。但是在实际应用中,最佳接收机比普通a2a2/2S?接收机性能好,非最佳接收机的性能由r?信噪比来体现。其中,r?(是信号经过2N0B2?nN带通后的信噪比)。

例如,2PSK普通接收系统的误码率为Pe?1erfcr,而2PSK 最佳接收系统的误码率 2???Es?EsSTSS1? ,???Pe?erfc?其中而非最佳系统的N?N0B,这里B是带通的带宽。 ?N?2NNNBN0?000T?因此,只有当带通带宽B?1时,第六章所述的接收机才与最佳接收机性能一样。然而,实际系T统中,带通滤波器的带宽要求信号完全通过(即对信号不造成失真)。假设基带信号波形为矩形的

5

成都学院(成都大学)课程设计报告

话,则1/T是基带信号频谱的第一个零点,如果带通滤波器带宽为B?1,则信号的失真太大,达不T到实际接收系统的带通要求。因此,实际系统的性能肯定要比最佳接收系统的性能差。

最佳接收系统相当于是最小带通带宽的接收机,因此进入判决的噪声也小。接收系统为了让信号尽可能通过,因此在接收机前端的带通滤波器带宽适当放大,而相关接收机相当于将信号全部通过,噪声进行再次的滤波,因此性能自然得到改善。

6

成都学院(成都大学)课程设计报告

第3章 最佳接收机的MATLAB实现

MATLAB 是一种用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,在数学信号处理中使用 MATLAB可以更快地解决技术计算问题,因此得到了广泛的应用。本章节的内容是介绍用MATLAB实现由匹配滤波器构成的二元数字信号的最佳接收机的过程。

3.1 设计思路

本课程设计的目的是用MATLAB实现由匹配滤波器构成的二元数字信号的最佳接收机,接收信号为带高斯白噪声的二进制数字序列x(t),其码型为双极性不归零码,每个码元的宽度为Ts,再将次此序列与所要匹配的信号s(t)相乘卷积得到输出结果so(t),由以上分析可知整个匹配滤波器系统分为三个模块:

(1)数字信号输入模块:产生带加性高斯白噪声的二进制数字序列;

(2)数字信号处理模块:将输入的二进制数字序列与本地的匹配信号信号s(t)相乘卷积; (3)数字信号输出模块:将处理的结果so(t)和没有经过匹配处理的原输入信号分别输出,方便对比分析;

因此,匹配滤波接收机的设计流程图如图3-1所示:

带加性高斯白噪声的 输入信号与匹配信号 处理结果

图3-1 匹配滤波接收机的设计流程图

3.2 实现过程

3.2.1 数字信号输入模块的实现

MATLAB中产生高斯白噪声的两个函数 在MATLAB中产生高斯白噪声非常方便,可以直接应用两个函数,一个是WGN,另一个是AWGN。WGN用于产生高斯白噪声,AWGN则用于在某一信号中加入高斯白噪声。也可以直接应用randn函数产生高斯分布序列,本课程设计中采用randn函数nt, 用语句nt=randn(1,1000);产生一个1行1000列的高斯白噪声的矩阵。其波形图如图3-2所示:

从图3-2可以看出,所得到的白噪声是随机的,满足课程设计对噪声的要求。

产生一个二进制数字序列,首先要设置序列的相关参数:码型,码元个数N,码元宽度Ts,每个码元内的抽样个数N_sample,用语句Ts = 1;设置码元的时间宽度,N_sample = 10;设置每个码元内的抽样个数,N = 100;设置码元个数,dt = Ts/N_sample;设置每个抽样点之间的间隔 ,gt = ones(1,N_sample);设置码型,此为不归零码型。

7

成都学院(成都大学)课程设计报告

图3-2 白噪声的仿真波形图

可以利用随机序列产生基带信号,首先定义一个1行N列的双极性随机序列,再将该随机序列拓展,即在两个数据之间插入N_sample-1个0值,最后与全1矩阵相乘卷积。用da = sign(randn(1,N));产生一个随即序列,st = sigexpand(da,N_sample); 其中sigexpand函数是定义的一个拓展函数,其作用将输入d进行扩张,方法是在d的每个数据中插入M-1个零值。使st从随机序列到基带信号,st = st(1:length(st)-N_sample+1);st = conv(st,gt);将拓展后的结果与全1矩阵卷积得到了二进制数字基带信号。

图3-3 输入波形仿真波形图

所得到的仿真波形如图3-3所示,从图3-3中可以看出输入的数字序列是双极性不归零码。 由于是加性高斯白噪声,则可以直接加到二进制序列中,用语句xt=nt+st实现,得到的仿真波形如图3-4所示:

从图3-4带噪声信号的仿真波形图可以看出,二进制数字序列在噪声的干扰下会产生一定程度的失真。

8

成都学院(成都大学)课程设计报告

图3-4 带噪声信号的仿真波形图

3.2.2 数字信号处理模块的实现

此模块的功能是将输入的二进制数字序列与匹配信号相乘卷积,这里的匹配信号s(t)的表达式为s(t)?1,0?t?Ts,其代码为r1t = conv(xt,h1t); 把r1t定义为匹配滤波器的冲激响应。

图3-5 匹配滤波输出的仿真波形图

从图3-5可以看出,匹配滤波器输出的波形在整个过程中都会发生改变,在判断一个信号时充分利用了一个码元在整个码元宽度内的值,这样就可以减少噪声的干扰。

为了对比分析处理信号和未处理信号,同时也需要将二进制序列进行普通的低通滤波,其代码为h2t = 5*sinc(5*(t-5)/Ts);把h2t定义为理想低通滤波器中的冲激响应。

9

成都学院(成都大学)课程设计报告

图3-6 理想低通滤波后的输出仿真波形图

从图3-6可以看出,理想低通滤波后的输出波形只是在每个码元开始的时刻发生改变,这样受噪声的影响就很大,很容易发生错判。 3.2.3 数字输出模块的实现

二进制数字序列经过处理后,需要经过抽样判决,然后再生成码元作为输出信号,抽样判决的周期为Ts,由于输入的信号为双极性不归零码,则判决门限为0,如果抽样值大于0判为1,小于0则判为-1,其代码为re1da= r1t(N_sample:N_sample:end);stem(n,re1da(1:N));还有低通滤波的输出,代码re2da= r2t(N_sample/2:N_sample:end);stem(n-5,re2da(1:N));将低通滤波器的输出结果抽样输出。

3.3 仿真结果

从图3-7理想低通滤波后的抽样输出仿真波形图中可以看出,在有加性高斯白噪声的干扰下,实际接收机所接收到的信号是通过理想低通滤波器的信号,与原输入信号有一定的差别,存在着误差,且误差是随机性的,说明实际接收机的抗噪声性能比较低。

从图3-8匹配滤波的抽样输出仿真波形图中可以看出,匹配滤波器的输出信号虽然在一定程度上与原输入信号不同,但是误码个数已经大大减少,可见匹配滤波器具有较高的抗噪声能力。

图3-7 理想低通滤波后的抽样输出仿真波形图

10

成都学院(成都大学)课程设计报告

图3-8 匹配滤波的抽样输出仿真波形图

3.4 仿真结果分析

把图3-3输入数字序列仿真波形和图3-7理想低通滤波抽样输出的仿真波形进行对比,可以看出理想低通滤波输出的20个码元中出现了6个错误码元,再把图3-3输入数字序列仿真波形和图3-8匹配滤波抽样输出的仿真波形进行对比,可以看出匹配滤波输出的20个码元中出现了没有错误码元,这说明最佳接收机的误码率比实际接收机的误码率大大减少了,和理论分析是相符合,说明此设计方案是可行且具有实际意义的。

11

成都学院(成都大学)课程设计报告

第4章 最佳接收机的VHDL实现

现代通信技术的发展随着VHDL等设计语言的出现和ASIC的应用也进入了一个新的阶段,VHDL硬件描述语言作为一个规范语言和建模语言,其对系统行为或流程的描述就是一个建模过程,采用在普通的数字通信系统模型的基础上建立能满足VHDL设计的可实现性模型的设计方法,可以加速数字通信系统设计的效率,降低设计成本,所以这种方法在数字通信系统中得到广泛的应用,本章节主要内容为最佳接收机系统的VHDL实现过程。

4.1 设计思路

本课程设计要求实现二进制数字序列的匹配滤波器,由前面的理论分析可知,匹配滤波器的匹配信号s(t)与接收信号x(t)的值相同时,在抽样判决时刻输出信号so(t)的模最大,而接收信号x(t)与匹配信号s(t)不同时则被尽可能的抑制掉,所以实现匹配滤波器也可以转换成两个数字信号之间的相关运算,即比较等长度的两个数字序列之间有多少位相同,多少位不同,然后设置判决门限,通过相同位数的个数来判断信号的值。

本课程设计以QPSK信号为输入信号,用VHDL语言实现QPSK的基于匹配滤波器的最佳接收机, QPSK信号有四种值“00”“01”“10”“11”,其分别对应的载波图形编码和波形编号如表4-1所示:

表4-1 QPSK信号与其载波图形列表

输入信号 00 01 10 11 载波波形编码 1100 1001 0011 0110 波形编号 0 1 2 3 对符号过采样率为4,设定判决门限为3。

由以上分析,可以知道整个最佳接收机系统分为四个模块:

(1)信号发生电路:此模块的功能是产生二进制数字序列,并加入加性高斯白噪声作为匹配滤波器的接收信号;

(2)定时电路:每经过4个系统时钟信号上升沿定系统的判决电路输出判决结果,然后从零重新开始计数;

(3)匹配滤波电路:完成接收信号与四个匹配信号之间的相关运算,并分别累计接收信号与四个匹配信号之间相同的位数,作为结果输出;

(4)判决电路:根据匹配滤波器的输出结果和判决门限判断接收信号,将判决结果作为输出端

12

成都学院(成都大学)课程设计报告

的输出信号;

根据上述内容,可以得到系统的设计流程图如图4-1所示:

信号发生电路 定时电路 判决电路 输出 图4-1 匹配滤波器设计流程图

4.2 实现过程

4.2.1 信号发生电路的实现

由于本课程设计所讨论的是在高斯白噪声条件下最佳接收机的误码性能,系统要求有高斯白噪声的产生,可以采用伪随机序列产生高斯白噪声,其代码见附录,得到的仿真波形如图4-2所示:

图4-2 白噪声产生器VHDL实现仿真波形图

从图4-2可以看出,在使能信号为高电平的情况下,输出端会输出一个随机的数字序列,在系统中作为白噪声信号。

因为是加性高斯白噪声,所以可直接将二进制信号序列与高斯白噪声进行模2加,所得到的结果作为输入信号发送给匹配滤波器,其代码见附录,仿真波形如图4-3所示:

图4-3 输入信号仿真波形图

从图4-3输入信号仿真波形图中可以看出,当输入信号加入加性高斯白噪声时会产生波形的失真,而最佳接收机需要尽可能的减小波形失真带了的误判。 4.2.2 定时电路的实现

此电路的功能是将系统时钟进行处理,可以采用计数器的方式实现,每当系统时钟上升沿时刻,

13

成都学院(成都大学)课程设计报告

计数器加1,当计数器从0加到3时,则系统判决电路工作,并且计数器清零,重新开始计数,其代码如下:

if clk'event and clk='1' then –系统时钟为上升沿 if start='0' then

cnt<=\系统复位,定时器清零 else case cnt is

when \--第一个状态 when \\--第二个状态 when \0\--第三个状态 when \\--第四个状态 when others=>null; end case;

cnt<=cnt+1;--定时器加1 4.2.3 匹配滤波电路的实现

当接收端接收到信号发生电路的二进制数字序列后,匹配滤波器需要将输入信号分别与四个匹配信号做相关运算,并将四个不同的累加结果作为输出信号给判决电路。一位相关器可以由同或门实现,用1表示该数据位相同,用0表示该数据位不同,每个系统时钟上升沿时刻匹配滤波电路就会接收到一个一位的二进制数字信号,根据定时电路的状态和相对应的数据位做相关运算,如果定时电路的状态不为“00”则继续比较下一个二进制数,并将相关运算结果累加,如果定时电路的状态为“00”则累加器清零,其代码分析如下:

when \

cnt1<=1;cnt2<=1;cnt3<=0;cnt4<=0;--第一位数据为1时的各相关运算结果 else

cnt1<=0;cnt2<=0;cnt3<=1;cnt4<=1;--第一位数据为0时的各相关运算结果 end if;

when \第二位数据为1时各累加器的结果 cnt1<=cnt1+1;cnt2<=cnt2;cnt3<=cnt3;cnt4<=cnt4+1; else --第二位数据为0时各累加器的结果

cnt1<=cnt1;cnt2<=cnt2+1;cnt3<=cnt3+1;cnt4<=cnt4;end if; when \第三位数据为1时各累加器的结果 cnt1<=cnt1;cnt2<=cnt2;cnt3<=cnt3+1;cnt4<=cnt4+1; else --第三位数据为0时各累加器的结果

cnt1<=cnt1+1; cnt2<=cnt2+1;cnt3<=cnt3; cnt4<=cnt4;end if; when \第四位数据为1时各累加器的结果

14

本文来源:https://www.bwwdw.com/article/d8xt.html

Top