实验二用原理图输入法设计8位全加器
更新时间:2023-11-23 05:20:01 阅读量: 教育文库 文档下载
- 变频器二用一备原理图推荐度:
- 相关推荐
《数字电路与VHDL设计》实验报告
题目:用原理图输入法设计8位全加器
专业: 计算1011
学号: 2010810
姓名: 佐伊伦
2012-2013学年第二学期
一.设计目的
1.利用Quartus II的原理图输入法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计掌握原理图输入方式的电子设计的详细流程。
二.设计内容
1.建立一个高层次的原理图设计,利用已经设计好的1位全加器,将其转换成模块,构成8位全加器,并完成编译、综合、适配、仿真和硬件测试。
三.程序设计原理
将8个一位全加器组合,如图所示
四.程序流程图
开始 设置输入输出端循环调用一位全加器8次 例化语句 编译运行 结束 五.源程序
顶层文件
library ieee;
use ieee.std_logic_1164.all; entity f_adder_8bit is
port(a,b: in std_logic_vector(7 downto 0); sum: out std_logic_vector(7 downto 0); cout: out std_logic); end entity f_adder_8bit;
architecture fd8 of f_adder_8bit is
component vhdl1 --diao yong 1 wei quan jia qi sheng ming yu ju port (
ain:in std_logic; bin:in std_logic; cin:in std_logic; sum:out std_logic; cout:out std_logic );
end component;
signal c:std_logic_vector(8 downto 0); begin
c(0)<='0'; cout<=c(8);
g1:for i in 0 to 7 generate
u:vhdl1 port map(ain=>a(i),bin=>b(i),cin=>c(i),cout=>c(i+1),sum=>sum(i)); end generate;
end architecture fd8;
一位全加器
LIBRARY IEEE; --1位二进制全加器顶层设计描述 USE IEEE.STD_LOGIC_1164.ALL; ENTITY vhdl1 IS
PORT (ain,bin,cin : IN STD_LOGIC; cout,sum : OUT STD_LOGIC ); END ENTITY vhdl1;
ARCHITECTURE fd1 OF vhdl1 IS
COMPONENT vhdl2 --调用半加器声明语句 PORT ( a,b : IN STD_LOGIC; co,so : OUT STD_LOGIC); END COMPONENT ; COMPONENT or2a
PORT (a,b : IN STD_LOGIC;
c : OUT STD_LOGIC); END COMPONENT;
SIGNAL d,e,f : STD_LOGIC; --定义3个信号作为内部的连接线。 BEGIN
u1 : vhdl2 PORT MAP(a=>ain,b=>bin,co=>d,so=>e); --例化语句 u2 : vhdl2 PORT MAP(a=>e, b=>cin, co=>f,so=>sum); u3 : or2a PORT MAP(a=>d,b=>f, c=>cout); END ARCHITECTURE fd1;
半加器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; ENTITY vhdl2 IS
PORT (a, b : IN STD_LOGIC; co, so : OUT STD_LOGIC); END ENTITY vhdl2;
ARCHITECTURE fh1 OF vhdl2 is BEGIN so <= NOT(a XOR (NOT b)) ; co <= a AND b ; END ARCHITECTURE fh1;
或门
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; ENTITY or2a IS
PORT (a, b : IN STD_LOGIC; c: OUT STD_LOGIC); END ENTITY or2a;
ARCHITECTURE fh1 OF or2a is BEGIN c<=a or b ;
END ARCHITECTURE fh1;
六.调试过程
对8位全加器进行调试
输入:ain SW7~SW0; bin SW15~SW8 进位 LEDR8 输出:LEDR7~LEDR0 初始化:SW7~SW0 ,SW15~SW8全部按下。LED灯全灭 拨动的开关 输入ain值 输入bin值 输出sum LED亮灯情况 SW1,SW9 00000010 00000010 00000100 LEDR2亮 SW1,00000011 00000011 00000110 LEDR2,LEDR1亮 SW0,SW9,SW8 SW7,SW15 10000000 10000000 00000000 LEDR8亮 SW7~SW0, SW15~SW8 , 波形设置
11111111 11111111 11111110 cout=1 LEDR7~LEDR1亮 LEDR8亮
七.遇到的问题及解决方法
1.本次实验,主要遇到的问题是对于波形的仿真问题,在仿真之前要先画好器件的连接图,而相应的输入输出端口的设置只要出现一个错误就会导致结果的不正确,因此,我之前由于各种原因,使得结果一直出错,后来经过多次检查以及排查方才解决了问题。
正在阅读:
实验二用原理图输入法设计8位全加器11-23
卷烟内部专卖规范经营自查报告01-19
学习掌握医患沟通艺术-彰显医之根本、医之魂 医患沟通 全员培训05-22
摄影艺术赏析作业答案全套满分!01-09
电大中级财务会计(二)形成性考核答案01-05
成都理工大学2012-2013软件代码开发技术(编译原理)考试试卷(03-31
浙江省高院关于审理建设工程施工合同纠纷案件若干疑难问题的解答04-06
电动汽车产业化机遇03-19
人教版必修二 染色体变异 学案(1)05-17
2018年上期学校兴趣小组活动方案09-19
- exercise2
- 铅锌矿详查地质设计 - 图文
- 厨余垃圾、餐厨垃圾堆肥系统设计方案
- 陈明珠开题报告
- 化工原理精选例题
- 政府形象宣传册营销案例
- 小学一至三年级语文阅读专项练习题
- 2014.民诉 期末考试 复习题
- 巅峰智业 - 做好顶层设计对建设城市的重要意义
- (三起)冀教版三年级英语上册Unit4 Lesson24练习题及答案
- 2017年实心轮胎现状及发展趋势分析(目录)
- 基于GIS的农用地定级技术研究定稿
- 2017-2022年中国医疗保健市场调查与市场前景预测报告(目录) - 图文
- 作业
- OFDM技术仿真(MATLAB代码) - 图文
- Android工程师笔试题及答案
- 生命密码联合密码
- 空间地上权若干法律问题探究
- 江苏学业水平测试《机械基础》模拟试题
- 选课走班实施方案
- 二用
- 全加器
- 输入法
- 原理
- 实验
- 设计
- 察苏镇党建工作专题片分镜头脚本 - 图文
- 陶渊明《与子俨等疏》赏析
- 网页设计与制作课程试卷
- 智慧城市与智能交通(必修课)100分答案
- oracle - sql练习题及答案
- 生化名词解释、
- 绿城强制性条文2011.12.7
- 2019-2020年高中数学必修三3.1.2《概率的意义》教案
- LTE PUSCH Turbo编码的速率匹配以及RV参数
- 基于划分的聚类算法
- 王静
- 初中数学苏教版七年级下册第一单元第5课《多边形的内角和与外角和》优质公开课教案教师资格证面试试讲教案
- 复习题
- 国家卫计委规定18项医疗核心制度汇总
- 中日文化交流历史悠久
- 机械制造基础
- 《人民代表大会:国家权力机关》教学设计
- 最新操作系统试题及答案
- 凿岩爆破 - 图文
- 毕业设计-某办公楼计算书