无刷直流电机控制器设计开题报告

更新时间:2024-01-21 11:36:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

本科生毕业设计(论文)开题报告

论文题目: 无刷直流电机控制器硬件电路的设计

学 院: 电气工程学院 专业班级: 学生姓名: 学 号: 导师姓名: 开题时间:

1

1.课题背景及意义

1.1课题研究背景、目的及意义

无刷直流电机利用电子换向代替机械换向,解决了传统直流电机因为电刷摩擦而产生的众多问题并因其结构简单、运行可靠、维修方便等优点,使得它的应用越来越广泛[1],如在仪器仪表、家用电气和医疗器械等方面的应用成为研究的热点,因而对电机运行性能的要求也越来越高,因此围绕改善电机性能方面的研究也是多方向的。

早期的电机驱动控制系统多采用专用硬件控制设备,需设计专用的控制芯片及其它硬件电路以满足不同控制对象的需要,这种封闭式结构使电机驱动控制系统的体积大、开发成本高、开发周期长,可靠性、可扩展性和易用性都很差,并且升级困难。随着技术的不断进步,人们对电机驱动控制系统提出了更高的要求,既希望能够根据不同的应用需求,迅速、经济地构建面向客户的控制系统,又希望大幅度降低系统维护费用改变以往封闭式设计模式,使底层生产控制系统的集成更为简便和有效。现代电机驱动控制系统正朝着开放性、实时性和可靠性方向发展。

无刷直流电机大多采用 MCU(单片机)或者 DSP(数字信号处理器)来研制电机控制器,因为一些 MCU 和DSP 中预置了用于电机控制的指令、接口和外设,应用较为方便。但是,在一些特殊的应用领域,例如,在高温、低温和强电磁干扰或者有放射性粒子辐射的太空环境中,MCU 和 DSP 无法正常工作[2]。所以引入了FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。由于FPGA运用了硬件电路软件化设计的理念,使得FPGA设计具有良好的可重复性和修改性。模块的硬件描述语言 (HDL)不拘泥于某一型号FPGA或某一厂商的FPGA,只需要经过简单的设置就可重复利用已有的电路模块,又因为FPGA具有优异的可重复编程的能力,可以很简单的对算法进行修改和升级。从而极大地缩短了控制器的设计时间,节约了产品升级换代的成本。FPGA 有从商用到航天等不同级别的芯片,环境适用范围广泛。

2

1.2 课题国内外研究现状及趋势

无刷直流电机驱动控制过程中,不但要完成高速的数据采集计算,还要完成多个控制环的复杂计算以及PWM调制、换相控制,需要完成的计算量较大,并且对实时性和精度要求较高。而单片机由于其内部体系结构和计算功能等条件限制,在需要处理数据量大和实时性要求高时,往往不能满足要求;另外,受芯片制造工艺限制,在现有的电机专用控制芯片中所实现的算法一般比较简单,也难以应用在高性能、高精度的应用场合[3]。因此一般采用DSP进行实现,但这种方法开发周期长,在运算上仅限于对串行数据的处理,对于大容量的数据则要分时串行处理,影响了系统效率和精度,而且用DSP控制电机所需的外围元件较多,影响了控制系统的可靠性。自从跨入九十年代以来,FPGA等可编程逻辑器件得到了飞速发展,向高集成度、高速度和低价位方向不断迈进[4][5],借助芯片强大的计算能力和逻辑能力使许多控制算法得以实现,为实现电机数字控制提供了一种新的有效方法。采用FPGA作为控制芯片,使得在单个芯片上实现原来整个控制系统实现的功能,使系统具有体积小、成本低和开放性等特点,完全符合现代电机驱动控制系统的发展趋势。FPGA端口资源丰富,且大多由用户自己定义,使得系统设计灵活,同时便于扩展和升级。

目前国外在数字化的无刷直流电机控制技术方面做的相当成熟,我国在这方面的研制工作起步较晚,并且主要集中在一些研究所和高等院校,经过这些年的努力,基于FPGA的无刷直流电机驱动控制系统的研究已经取得了很多成果,但这方面的技术还不成熟,没有形成系列产品,主要还是采用国外成熟的系统。因此,建立以FPGA为控制核心的无刷流电机驱动控制系统具有重要的现实意义和广阔的发展前景 [7]。

[6]

2.毕业设计研究内容及任务

2.1研究内容

通过对电机驱动控制系统发展及现状的分析,以无刷直流电机为控制对象,提出一种以FPGA为控制核心的无刷直流电机驱动控制系统总体设计方案。 (1) 分析电机驱动控制系统的发展及现状,提出本文所要设计的控制系统

总体方案;

(2) 设计控制器中的硬件电路,包括控制板及与驱动板之间的接口,过流保

护电路等。并根据设计要求,采用再生制动实现了电机制动控制[8];

(3) 设计基于FPGA的PID控制器,对于算法中涉及到的各种时序运算采用

状态机控制实现过程;

(4) 论述无刷直流电机的基本组成、工作原理及数学模型,研究无刷直流

3

电机驱动控制系统的基本结构,建立其仿真模型,并对系统进行仿真分析,为控制系统的设计提供理论依据

2.2设计思想及设计方案

无刷直流电机在当今控制系统中被广泛应用但是目前对无刷直流电机的控制主要由单片机和DSP 实现,虽然单片机实现在价格上有优势,但是外围电路复杂,对系统的稳定性和可靠性有较大的影响,而且在算法实现上速度较慢, 无法满足高速实时控制系统要求[9]。DSP 虽然在算法实现上速度快,但是外围电路也较复杂,而且价格较贵。因此,实现无刷直流电机控制系统可以通过FPGA 实现外围数字电路的设计实现对电机的PWM 控制,外围电路简单,实时控制速度快,系统稳定可靠,而且价格合理[4][10]。

以FPGA芯片作为控制电路核心、以智能功率模块(IPM)作为逆变电路 核心的系统硬件电路总体架构,进行主要器件的选型[11],并设计单片机芯片必要的外围扩展电路、霍尔信号接口电路、A/D转换电路、电流采样调理电路、电平转换电路、通信接口电路、人机交互电路以及报警电路等[12]。 2.3 毕业设计拟采用方法和手段

无刷直流电机驱动控制器硬件逻辑设计中,FPGA片上模块均采用硬件逻辑设计完成,模块之间使用串行连接,霍尔信号经过换相控制模块输出6路开关管信号,同时经过位置与速度检测模块计算得到速度反馈转速n并与速度给定参考速度一起经过速度调节器调节得到电流环给定参考速度下的电压[13][14],控制A/D转换输出A相和B相电流经过电流检测模块输出母线电流并与速度调节器输出值一起经过电流调节器输出占空比信号,用以调节PWM波的宽度,达到调速的目的

[15]

3.毕业设计工作计划及进度安排

第1周 第2周 第3周 第4周 第5周 第6周 第7周 第8周

4

第9周 第10周 第11周 第12周 第13周 第14周 第15周 第16周 第17周

4.参考文献

[1] 张琛.直流无刷电动机原理及应用[M].北京:机械工业出版社,2008. [2] 胡文静.永磁无刷直流电动机的发展与展望[J].微电机,2002,35(4):37-38. [3] 华建军.基于FPGA永磁同步电机控制器的研究[D]:[硕士论文].江苏:江南大学, 2008.

[4] Ying-Yu Tzhou, Hau-Jean Hsu. FPGA Realization of Space Vector PWM Control IC for Three-phase PWM Inverters [ J] . IEEE Transact ion on Power Electronics, 1997, 12( 6 ). [5] 贡俊,陆国林.无刷直流电机在工业中的应用前景和发展[J].微特电机,2000(5):14-17. [6] Wong C G, Martin A J, and Thomas P, An architecture for asynchronous FPGAs, IEEE International Conference on Proceedings 2003, Tokyo, 2003: 170-177.

[7] 田耘,徐文波,张延伟.无线通信FPGA设计[M].北京:电子工业出版社,2008. [8] 林寿英,基于FPGA的直流电机控制器的研究与实现[J].福建农机,2009(4):31-34. [9] 徐光辉,程东旭,黄如.基于FPGA 的嵌入式开发与应用.电子工业出版社.

[10] Luca Mostardini,Luca Bacciarelli,Lorenzo Bertini.FPGA-based Low-cost System for Automatic Tests on Digital Circuits[C].IEEE International Conference on Electronic, Circuit sand Systems,2007(14):911-914.

[11] 蒋昊,李哲英.基于多种EDA工具的FPGA设计流程[J].微计算机信息,2007,23(32):201-203.

[12] 薛鹏飞,张卫东.基于Fusion FPGA 无刷直流电机的控制器设计[J],研究与设计,2011,27(8):1-4.

[13] 吴磊.基于双DSP和FPGA的无刷直流电机伺服控制系统的设计[D]:[硕士论文].电子科技大学,2010.

[14] Chakravarthy, N. Jizhong Xiao. FPGA-based Control System for Miniature Robots [ C ] . Intelligent Robots and System s, 2006 IEEE /RSJ International Conference on O ct, 2006:

5

3399-3404.

[15] Xilinx,Inc.Spartan3E FPGA Family Complete Data Sheet[G].Literature Number:DS312, 2006.

指导教师意见: 指导教师签字: 年 月 日 专业核心组意见: 专业核心组组长签字: 年 月 日

6

本文来源:https://www.bwwdw.com/article/cp8o.html

Top