verilog设计经验点滴

更新时间:2024-03-22 07:01:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

因为Verilog是一种硬件描述语言,所以在写Verilog语言时,首先要有所要写的module在硬件上如何实现的概念,而不是去想编译器如何去解释这个module. 比如在决定是否使用reg定义时,要问问自己物理上是不是真正存在这个register, 如果是,它的clock是什么? D端是什么?Q端是什么?有没有清零和置位?同步还是异步?再比如上面讨论的三态输出问题,首先想到的应该是在register的输出后面加一个三态门,而不是如何才能让编译器知道要“赋值”给一个信号为三态。同样,Verilog中没有“编译”的概念,而只有综合的概念。 verilog设计注意事项

1, 敏感变量的描述完备性

Verilog中,用always块设计组合逻辑电路时,在赋值表达式右端参与赋值的所有信号都必须在always@(敏感电平列表)中列出,always中if语句的判断表达式必须在敏感电平列表中列出。如果在赋值表达式右端引用了敏感电平列表中没有列出的信号,在综合时将会为没有列出的信号隐含地产生一个透明锁存器。这是因为该信号的变化不会立刻引起所赋值的变化,而必须等到敏感电平列表中的某一个信号变化时,它的作用才表现出来,即相当于存在一个透明锁存器,把该信号的变化暂存起来,待敏感电平列表中的某一个信号变化时再起作用,纯组合逻辑电路不可能作到这一点。综合器会发出警告。

Example1: input a,b,c; reg e,d;

always @(a or b or c) begin

e=d&a&b; /*d没有在敏感电平列表中,d变化时e不会立刻变化,直到a,b,c中某一个变化*/ d=e |c; end Example2: input a,b,c; reg e,d;

always @(a or b or c or d) begin

e=d&a&b; /*d在敏感电平列表中,d变化时e立刻变化*/ d=e |c; end

2, 条件的描述完备性

如果if语句和case语句的条件描述不完备,也会造成不必要的锁存器。 Example1:

if (a==1'b1) q=1'b1;//如果a==1'b0,q=? q将保持原值不变,生成锁存器! Example2:

if (a==1'b1) q=1'b1;

else q=1'b0;//q有明确的值。不会生成锁存器! Example3: reg[1:0] a,q; .... case (a)

2'b00 : q=2'b00;

2'b01 : q=2'b11;//如果a==2'b10或a==2'b11,q=? q将保持原值不变,锁存器! endcase Example4: reg[1:0] a,q; .... case (a)

2'b00 : q=2'b00; 2'b01 : q=2'b11;

default: q=2'b00;//q有明确的值。不会生成锁存器! endcase

Verilog中端口的描述 1,端口的I/O与数据类型的关系:

端口的I/O 端 口 的 数 据 类 型 module内部 module外部 input wire wire或reg output wire或reg wire inout wire wire

2,assign语句的左端变量必须是wire;直接用\给变量赋值时左端变量必须是reg! Example:

assign a=b; //a必须被定义为wire!! ******** begin

a=b; //a必须被定义为reg! end

VHDL 中 STD_LOGIC_VECTOR 和 INTEGER 的区别

例如 A 是INTEGER型,范围从0到255;B是STD_LOGIC_VECTOR,定义为8位。A累加到255时,再加1就一直保持255不变,不会自动反转到0,除非令其为0;而B累加到255时,再加1就会自动反转到0。所以在使用时要特别注意!

以触发器为例说明描述的规范性 1,无置位/清零的时序逻辑 always @( posedge CLK) begin Q<=D; end

2,有异步置位/清零的时序逻辑

异步置位/清零是与时钟无关的,当异步置位/清零信号到来时,触发器的输出立即 被置为1或0,不需要等到时钟沿到来才置位/清零。所以,必须要把置位/清零信号 列入always块的事件控制表达式。 always @( posedge CLK or negedge RESET) begin if (!RESET) Q=0;

else Q<=D; end

3,有同步置位/清零的时序逻辑

同步置位/清零是指只有在时钟的有效跳变时刻置位/清零,才能使触发器的输出分别转换为1或0。所以,不要把置位/清零信号列入always块的事件控制表达式。但是 必须在always块中首先检查置位/清零信号的电平。

always @( posedge CLK ) begin if (!RESET) Q=0; else Q<=D; end 结构规范性

在整个芯片设计项目中,行为设计和结构设计的编码是最重要的一个步骤。它对逻辑综合和布线结果、时序测定、校验能力、测试能力甚至产品支持都有重要的影响。考虑到仿真器和真实的逻辑电路之间的差异,为了有效的进行仿真测试: 1,避免使用内部生成的时钟

内部生成的时钟称为门生时钟(gated clock)。如果外部输入时钟和门生时钟同时驱动,则不可避免的两者的步调不一致,造成逻辑混乱。而且,门生时钟将会增加测试的难度和时间。 2,绝对避免使用内部生成的异步置位/清零信号

内部生成的置位/清零信号会引起测试问题。使某些输出信号被置位或清零,无法正测试。 3,避免使用锁存器

锁存器可能引起测试问题。对于测试向量自动生成(ATPG), 为了使扫描进行,锁存器需要置为透明模式(transparent mode),反过来,测试锁存器需要构造特定的向量,这可非同一般。 4,时序过程要有明确的复位值

使触发器带有复位端,在制造测试、ATPG以及模拟初始化时,可以对整个电路进行快速复位。 5,避免模块内的三态/双向

内部三态信号在制造测试和逻辑综合过程中难于处理.

Verilog中两种不同的赋值语句 不阻塞(non-blocking) 赋值语句: always @(posedge clk) begin

b <= a ; c <= b; end 生成两个触发器。

阻塞(blocking) 赋值语句: always @(posedge clk) begin b = a ; c = b;

end 生成一个触发器。

行为模块:在仿真时其表现的功能与某实体逻辑电路完全一致的 Verilog HDL 模块,但并没有手段 生成对应的门级逻辑电路与之对应。

- 用于系统分割时验证各部分的功能指标分配是否合理;

- 在仿真时可用于替代与所设计电路系统相连接的现成可购得的外围电路;

- 用于产生测试信号和数据输入到所设计电路系统并接收它的应答信号和输出以验证其功能。

可综合模块:可通过综合工具,自动地转换为门级逻辑电路的 Verilog HDL 模块。它的仿真可以在两个层次上进行,行为级和门级。

- 想要设计的用硬线逻辑构成的电路系统;

- 由基本的逻辑器件为基础所构成的各种层次的结构模块; - 综合器能理解并能将其编译为门级逻辑的模块;

- 对一般的综合器而言,单纯的RTL级Verilog HDL模块以及 RTL 和结构型混合HDL模块。

时序分析的常用概念:

1、Clock Setup Time (tsu):所谓时钟建立时间就是指时钟到达前,数据和使能已经准备好的最小时间间隔。 Altera的tsu定义如下:tsu = Data Delay – Clock Delay + Micro tsu

Micro tsu:指的是一个触发器内部的建立时间,它是触发器的固有属性,一般典型值小于1~2ns。在Altera的Micro tsu为setup时间,用Tsetup表示,请大家区分一下。

2、Clock Hold Time (tH):时钟保持时间是只能保证有效时钟沿正确采用的数据和使能信号的最小稳定时间。定义的公式为:tH= Clock Delay – Data Delay + Micro tH

3、Clock-to-Output Delay(tco):这个时间指的是当时钟有效沿变化后,将数据推到同步时序路径的输出端的最小时间间隔。tco = Clock Delay + Micro tco + Data Delay

4、Pin to Pin Delay (tpd):tpd指输入管脚通过纯组合逻辑到达输出管脚这段路径的延时,特别需要说明的是,要求输入到输出之间只有组合逻辑,才是tpd延时。 5、Slack

Slack是表示设计是否满足时序的一个称谓,正的slack表示满足时序(时序的余量),负的slack表示不满足时序(时序的欠缺量)。slack的定义和图形如图4所示。 Slack = Required clock period – Actual clock period

Slack = Slack clock period – (Micro tCO+ Data Delay + Micro tSU) 6、Clock Skew

Clock Skew指一个同源时钟到达两个不同的寄存器时钟端的时间偏移。

本文来源:https://www.bwwdw.com/article/cba8.html

Top