电子密码锁课程设计

更新时间:2024-06-26 19:30:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

电气信息学院

课 程 设 计 说 明 书

题 目: 电子密码锁 专 业: 信息工程 年 级: 学 生:

学 号: 36xxxxxxxxxxxx7

指导教师: 完成日期: 2011 年 6 月 26 日

目录

1.前言 ................................................................................................................................................. 3 2总体方案设计 ............................................................................................................................... 5

2.1 方案比较 ............................................................................................................................... 5

2.1.1 方案一 ........................................................................................................................ 5 2.1.2 方案二 ........................................................................................................................ 5 2.2 方案论证 ............................................................................................................................... 6 2.3 方案选择 ............................................................................................................................... 6

3.单元模块设计 ............................................................................................................................... 7

3.1密码预设模块设计 ................................................................................................................ 7 3.2密码输入模块设计 ................................................................................................................ 9 3.3 555定时模块设计 ................................................................................................................11 3.4 密码比较模块设计 ............................................................................................................. 15 3.5特殊器件的介绍 .................................................................................................................. 19 3.6各单元模块的连接 .............................................................................................................. 19

4.软件简介 ...................................................................................................................................... 20 5.系统调试 ...................................................................................................................................... 22

5.1 硬件调试 ............................................................................................................................. 22 5.2 系统仿真参数设置 ............................................................................................................. 22 5.3 功能调试 ............................................................................................................................. 22

6.系统功能、指标参数 ............................................................................................................... 25

6.1系统能实现的功能 .............................................................................................................. 25 6.2系统指标参数设置 .............................................................................................................. 25 6.3系统功能指标参数及分析 .................................................................................................. 25

7.结论 ............................................................................................................................................... 27 8.总结与体会 ................................................................................................................................. 28 9.谢词 ............................................................................................................................................... 29 10.参考文献 .................................................................................................................................... 30 附录:系统仿真总图 .................................................................................................................. 31

第 I 页

电子密码锁

摘 要:本设计的电子密码锁采用四位密码解锁。该电子密码锁通过555

定时报警,通过报警即可判断密码正确与否。数字密码输入后采用编码电路和锁存器电路来实现保存密码,以便进行密码比较。密码未在规定时间内输入正确,则进行自锁和复位。密码输入正确后开锁信号由LED指示。文中列举了两种不同的方案,并进行了比较以及改进,对关键器件也进行了功能介绍和测试。

关键词:密码锁、74HC161、NE555、 proteus

Abstract: The design for the traditional mechanical locks important shortcomings and modern security protection and design of digital electronic locks. The design of electronic locks use four-digit password to unlock. The electronic lock 555 timed by the alarm, the alarm can be determined by the password is correct or not. Password to be used after digital coding circuit and latch circuit to achieve to save passwords for password comparison. Password is not entered correctly within the specified time, the self-locking and reset. After entering the correct password lock signal from the LED. The article cited two different scenarios, and compared and the improvement of key functional components have also been introduced and tested.

Keywords: Electronic lock;74HC161;NE555;proteus

第 2 页

1. 前言

1.1 设计背景

随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。据有关资料介绍,电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成开锁过程。研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC卡锁,生物锁等。但较实用的还是按键式电子密码锁。

20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。

目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。

由于门电路也具有现场可编程功能,当设计需要更改时,只需更改门电路中的控制和接口电路,无需更改外部电路的设计,大大提高了设计的效率,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的欢迎。通常简单的数字电路就可实现密码不限次数重写,也就是说现在的硬件设计和软件设计一样灵活、方便。相对于基于单片机技术的电子密码锁,用简单门电路来来构成系统,可靠性提高,并且价格便宜。

第 3 页

1.2 设计概述

通过本次设计掌握数字电路系统设计的方法,熟悉电子密码锁设计相关硬件的使用,了解电子密码锁的系统构成,利用数字门电路实现电子密码锁的设计与实现,可以加深自己对所学专业的认识,关联知识,增强自己的动手能力,积累实践经验,为以后的工作打好基础。 1.2.1设计目标

1.在锁的控制电路中存储一个可以修改的4位代码,当开关锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于存储的代码时,进入开锁状态而使锁打开。

2.从第一个按钮触动之后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开(可按复位键重新输入),并由扬声器发出持续20s的报警信号。

3.电子锁也能作门铃使用,但响声已与报警声做区别处理 1.2.2技术路线

要实现该设计,就应把整个电路分为几大模块。分别是密码预设模块,密码输入模块,密码比较模块,锁存模块,定时模块,报警模块。先通过对各个模块进行设计、调试之后再将其组合起来进行整机调试。 1.2.3实施计划

首先就是要理清实现设计的框图,在总体框图的指导下,作出具体的电路图,由要设计实现的功能,计算出各个电路元件的值,并逐一对各个元件进行选择。最后用仿真软件对设计进行仿真操作,调试软件,并对照出的设计有误的地方进行必要的修正,确保设计的正确。 1.2.4必备条件

要实现该电路的设计,首要的就是要制定出设计的实现框图,并在老师的指导和参照资料的条件下作出具体电路图,选定实现实现该设计的各种元器件。另外,还应由必要的软件帮助设计的完成。

第 4 页

DCLKS0651336U22:AQ1开锁指示灯2D1LED-BIRY094RQ4013 图3.6 开锁指示灯 9R110k8R510k7R810k6R210k5R610k4R910k3R310k2R710k1R1010k复位0确认按钮09R410kR1710k13R2910k 图3.7 密码输入键盘 如图3.7所示为密码输入键盘,该模块主要实现给相应功能端一个上升和下降脉冲沿,该模块实现方式和原理按钮按下之前输出端为低,按下之后为高电平,按钮升起来为低电平。protues仿真原理图按钮之后,R之前为输出端。 432113121110D7D6D5D4D3D2D1D04532D7D6D5D4D3D2D1D0GSEO1415U1543211312111055U174532EIGSEOQ2Q1Q0EI1415679U18ORU19OR679U20ORQ2Q1Q0U21OR 图3.8 优先编码器 如图3.8所示为CD4532组成的16线——4线优先编码器,高六位为虚设 1第 10 页 优先编码器:允许同时输入两个或以上的有效编码信号,当同时输入几个有效编码信号时,优先编码器能按预先设定的优先级别,只对其中优先权最高的一个信号进行编码。 432113121110D7D6D5D4D3D2D1D0GSEOQ2Q1Q05U154532EI 1415 图3.9 CD4532管脚图 3.3 555定时模块设计 1、该模块主要实现定时报警,具体有以下几个功能: 1.5s内密码输入不正确则触发20s报警指示

2.5s内密码未输入完成则触发20s报警指示并且自锁复位。 3.5s内密码输入正确则不报警。 4.20s报警器同时可当门铃使用

2、该模块主要由555定时器构成的多谐振荡器和单稳态触发器来实现,其间为实现上面4个功能,用到了D触发器,多个反相器和与门和与非门。

679第 11 页

CC55310uF74LS04740814174LS04261U16:CU32:A5秒控制自锁和报警2U9:F88D104VCCS0698Q75CVVCC911CLKR13RDC5CV4013GNDGND08QDC3RQ743809101NE555C60.01u1所示。

U38:AR18R1910kU35U22:B控制20秒555单稳态的报警信号18.18kU23LS20674LS0413Q12确认按钮端2TRTH62R2010kTRTH6SOUNDER555C40.01u1000uC3C51u88VCC5秒555单稳态报警RDC5CV7LED-BIRYQ4314VCCVCC084RQDC5CV3748GNDGND74LS0411 图3.10 555定时器组成的5秒和20秒报警装置

由优先编码器的gs端给信号0.01u1000uC12C1174LS04NE555C2C140.01u155510k555C130.01u10uGND用555器件及一些必不可少的元件来构成多谢振荡器,其电路图如图3.10

+5v LS1R114.55kR121818kU11D2U12555多谐控制报警频率R135kSOUNDERU14RQDC5CV37U16:B113TR11TH426R1410U9:ER155k2TRTH62TRTH6C15微分电路产生一个脉冲使20秒报警电路工作1uf=1/0.7(R1+2R2)C1C110u第 12 页

LS1R121818k8VCCRQDCRVCC0843748U12555多谐控制报警频率R135kSOUNDERU1437QDC5CV5CVR145kGND2TRTH62GNDTRTH6NE555C20.01u11555C140.01uC13C151u10uf=1/0.7(R1+2R2)C1工作C110u 图 3.11 555单稳态和555多谐振荡器组成的20秒报警装置 如图3.11所示,其报警的频率计算式为f=1/0.7(R1+2R2)C1获得脉冲信号以后,多谐振荡器得电工作,从4脚和8脚输入电源,由3脚输出正弦波频率脉冲。外部元件的稳定性决定了多谐振荡器的稳定性,可以通过调节外部元件的R和C来得到稳定的脉冲信号。

由555定时器和外接元件R1、R2、C构成多谐振荡器,脚2与脚6直接相接。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号,利用电源通过R1、R2向C充电,以及C通过R2向放电端Ct 放电,如图3.3使电路产生振荡。图3.2所示是由555定时器构成的多谐振荡器的内部框图及引脚排列情况,表3.1是它的引脚功能。 5kRVCC48U1437QDC5CV2GNDTRTH6C2555 图3.12 555定时器引脚排列 1

表3.1 555功能图

第 13 页

TR × >UDD <UDD TR × >UDD >UDD <UDD R OUT L L D 接通 接通 2323131313H H H 原状态 H 原状态 关断 ×

表3.2 555的引脚功能 引脚 1 2 3 4 5 6 7 8 功能 接地 触发输入端 输出端 复位端 电压控制端 阈值输入端 放电端 电源

555电路的内部电路含有两个电压比较器,一个基本RS触发器,一个放电开关管T,比较器的参考电压由三只5K的电阻器构成的分压器提供。它们分别使高电平比较器A1的同相输入端和低电平比较器A2的反相输入端的参考电平为2/3 Vcc电和1/3Vcc。A1与A2的输出端控制RS触发器状态和放电管开关状态。当输入信号自6脚,即高电平触发输入并超过参考电平2/3Vcc时,触发器复位,555的输出端3脚输出低电平,同时放电开关管导通;当输入信号自2脚输入并低于1/3Vcc时,触发器置位,555的3脚输出高电平,同时放电开关管截止。

Rd是复位端(4脚),当Rd=0,555输出低电平。平时Rd端开路或接Vcc。 Vc是控制电压端(5脚),平时输出2/3Vcc作为比较器A1的参考电压,当5脚外接一个输入电压,即改变了比较器的参考电压,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01uf的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。

T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电通路。

555定时器主要是与电阻、电容构成充放电电路,并由两个比较器来检测电容器上的

第 14 页

U423456710291D0D1D2D3ENPENTCLKLOADMR74LS161Q0Q1Q2Q3RCO1413121115

图3.22 74LS161管脚图

如图3.22 所示为74LS161的管脚图,从74LS161功能表3.3中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

3.6 各单元模块的联接

详见附录所示。

第 20 页

4. 软件简介

Proteus软件是来自英国Labcenter Electronics公司的EDA工具软件,Proteus软件除了其具有和其它EDA工具一样的原理布图,PCB自动或人工布线及电路仿真的功能外, 其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出, 还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器,逻辑分析仪等,不需要别的,Proteus为建立了完备的电子设计开发环境。具有4大功能模块

? 智能原理图设计(ISIS)丰富的器件库

超过27000种元器件,可方便地创建新元件;智能的器件搜索:通过模糊搜索可以快速定位所需要的器件;智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰;可输出高质量图纸。

? ProSPICE混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合

仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件。 ? 独特的单片机协同仿真功能

支持主流的CPU类型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、等。

? 实用的PCB设计平台

先进的自动布局/布线功能;支持器件的自动/人工布局;支持无网格自动布线或人工布线;支持引脚交换/门交换功能使PCB设计更为合理;完整的PCB设计功能;可以输出多种格式文件。

第 21 页

5. 系统调试

本节将介绍系统功能及调试

5.1 系统仿真总图

见附录所示。

5.2 系统仿真参数设置

在系统调试之前,我们需要对系统进行参数设置,如表5.1所示。

序号 1 2 3 4 5 6 7 8 9 编号 R0 R1 R2 R3 R4 R5 R6 R7 R8 参数 5.1K 30M 1K 2.4K 8K 1.2K 10K 1K 1K 表5.1 元件参数表 序号 10 11 12 13 14 15 16 17 18 编号 C0 C1 C2 C3 C4 C5 C6 C7 C8 参数 1uF 1uF 0.01uF 0.1uF 0.01uF 250Uf 0.05uF 10uF 1uF 序号 19 20 21 22 23 24 编号 U1 U2 U3 LS1 D1 Q1 参数 NE555 NE555 LM386 SPEAKER RED-LED 2N-2369 5.3 功能调试 通过几天的的理论设计, 为了验证对理论应用的正确性,选用Protues进行仿真,验证所设计的电路能否实现密码输入,密码预设比较且报警时间是否与理论时间相同。 5.3.1 555定时模块电路 5.3.1.1 调试目的

测试输入密码开始时555定时器是否开始计时,计时是否为5秒,报警时间是否为20秒,报警频率是否与门铃声有区别。 5.3.1.2 调试电路

调试电路如图5.1所示。

第 22 页

+5vLS1R114.55k8R1288U11QDC3714D2084LED-BIRY5R1818kU12QDC37555多谐控制报警频率R135kU14QDC37SOUNDERRVCCVCCR5CVCV5CVVCC态报警44GND115551074LS042TRTH62GND2GNDTRTH6U9:ER145kTH6R1510kTR给信号C120.01u1C111000uNE555C20.01u11555C140.01uC13C151u10uf=1/0.7(R1+2R2)C1微分电路产生一个脉冲使20秒报警电路工作C110u 图5.1 5秒计时电路20秒报警电路调试 5.3.1.3 调试结果

图5.2为5秒555定时器5秒计时的调试图,图5.3为22秒报警电路的调试结果。

图5.2 5秒计时仿真调试图

图5.3 20秒报警仿真调试图

5.3.2 密码部分电路

5.3.2.1 调试目的

测试密码输入正确时是否进入开锁状态,密码输错时是否进入自锁状态。

第 23 页

9R110k10k8R57R810k6DSR2065Q1133CLK10k2LED-BIRY10k5R6开锁指示灯4R9D110k6U22:A30945.3.1.2 调试电路

R3401310k10k2R7BCD数码管1R1010k复位确认按钮0R413+5v10k10k09R17R2910kRQU39:A46810D0D1D2D310uQ0Q1Q2Q35INPUT107INPUT119INPUT1211INPUT13U29C213456D0D1D2D3Q0Q1Q2Q3RCO1413121115SET10SET11SET12SET13调试电路如图5.4所示。

231R21R223.3k360STBOEMR450871029110ENPENTCLKLOADMR74HC160U26C22U2:A10u3456D0D1D2D3SET20SET21SET22SET2354321131211105432113121110EID7D6D5D4D3D2D1D0D7D6D5D4D3D2D1D0U15EI45324508231STBOEMRGSEOQ2Q1Q0GSEOQ2Q1Q06791415141516线--4线优先编码器679给5秒的触发信号11 图5.4 密码正确调试电路

46810D0D1D2D3Q0Q1Q2Q35INPUT207INPUT219INPUT2211INPUT23

Q0Q1Q2Q3RCO1413121115R23R243.3k360U17710291ENPENTCLKLOADMR74HC1604532U27C23345610uD0D1D2D3SET30SET31SET32SET33Q0Q1Q2Q3RCO1413121115高6位为虚设U2:B16182022D0D1D2D3STBOEMR4508Q0Q1Q2Q317INPUT3019INPUT3121INPUT3223INPUT33R263.3kR25360U18OROROR141513U19U20U21710291ORENPENTCLKLOADMR74HC160U30C243456D0D1D2D3SET40SET41SET42SET43U3:A468102015INPUT407INPUT419INPUT4211INPUT43D0D1D2D3231STBOEMR450860403Q0Q1Q2Q3R283.3k10uQ0Q1Q2Q3RCO1413121115R27360U9:AU6U9:B305574LS0474LS04402D0D1D2D3Q0Q1Q2Q3151413121710291ENPENTCLKLOADMR74HC1603456U9:CU9:D1374LS0412S1S2S3S49101213U33:B80574LS21第 24 页

SRSLCLKS0S1MR0774HC19427119101移位寄存器74LS04

9R110k10k10k8R57R86DSR2065Q1133CLKR5R610k2LED-BIRY10k4R9开锁指示灯10k6SR5.3.1.3 调试结果 10k10k10k094

U22:AD1Q40133R3BCD数码管2R7确认按钮0910k10k1R10复位0R41310kR17R29+5vU39:A46810D0D1D2D3Q0Q1Q2Q35INPUT107INPUT119INPUT1211INPUT13U29C2110u3456D0D1D2D3Q0Q1Q2Q3RCO1413121115SET10SET11SET12SET13R21R223.3k360U36:A1450810231STBOEMR710291ENPENTCLKLOADMR74HC160QQ2U26C22U2:A10u401354321131211105432113121110EID7D6D5D4D3D2D1D0D7D6D5D4D3D2D1D0U15EIGSEOQ2Q1Q0GSEOQ2Q1Q06791415141516线--4线优先编码器679 图5.5 密码错误调试电路 给5秒的触发信号11的密码为2222,密码输入错误,电路进入自锁状态,报警20秒。

开锁指示灯亮,电路开锁;如图5.5所示预设密码也为1111,而在5秒内输入

如图5.4所示预设密码为1111,而在5秒输入的密码也为1111,密码输入正确,

46810D0D1D2D3STBOEMR4508Q0Q1Q2Q35INPUT207INPUT219INPUT2211INPUT23

3456D0D1D2D3位端Q0Q1Q2Q3RCO1413121115SET20SET21SET22SET23R23R243.3k360U174532231710291ENPENTCLKLOADMR74HC1604532U27C23U2:B10u3456D0D1D2D3Q0Q1Q2Q3RCO1413121115SET30SET31SET32SET33高6位为虚设U18ORORORORU19U20U2116182022D0D1D2D3141513STBOEMR4508Q0Q1Q2Q317INPUT3019INPUT3121INPUT3223INPUT33R263.3kR25360710291ENPENTCLKLOADMR74HC160U30C24U3:A468105INPUT407INPUT419INPUT4211INPUT433456D0D1D2D31413121115SET40SET41SET42SET43R283.3k10uQ0Q1Q2Q3RCOR27U9:A3601201D0D1D2D3Q0Q1Q2Q3710291ENPENTCLKLOADMRU6U9:B305574LS04402Q0Q1Q2Q315141312231STBOEMR74HC1603456D0D1D2D3U9:C74LS046034508第 25 页

0727119101SRSLCLKS0S1MR74HC194U9:D1374LS041204S1S2S3S49101213U33:B89U32:C80510移位寄存器74LS0474LS217408

6 系统功能、指标参数

本节将介绍系统参数

6.1系统能实现的功能

本设计是用电子器件设计制作一个密码锁的控制电路,使之在输入正确的密码时进入开锁状态,若5秒内未输入正确则自锁并发出20秒的警报。

6.2系统指标参数设置

对于本设计系统的参数测试,总共分两步。第一步就是将自己设计的电路用软件protel作出具体的线路图,用protel自带的检测功能检测线路是否连接有误,直到修改线路至无误为止。第二步就是将我们的电路的各部分分开进行仿真。对此部分仿真可用到仿真软件proteus。在对每个部分仿真达到正确结果后,然后将各个模块连接起来进行整体测试。

经过仿真证明我设计的触摸式报警器电路工作正常。

6.3系统功能指标参数及分析

电源输出的电压测试

用proteus进行了仿真模拟测试,测试的数据结果表明基本符合要求。

表6.1 参数记录表

输入 220V市电 输出 12.1 误差 0.8% 报警时间的长短、频率测试

用proteus进行了仿真模拟测试,测试的数据结果表明基本符合要求。 表6.2 参数记录表 来电/停电 来电 停电 报警时间 33 28 蜂鸣频率 997 996 误差 10%,0.3% 6.7%,0.4% 通过前面的参数计算和仿真的系统各元件的参数表如表6.3所示。

表6.3 仿真参数

第 26 页

第 27 页

第 28 页

7 结论

本设计通过比较器、锁存器、计数器、编码器、译码器的应用实现了电子密码锁的技术要求,具体功能如下:通过输入正确原密码执行开锁并绿色二极管亮5.5秒,错误则报警并自锁20秒,自锁过程中不能再进行操作;同时还对输入时间进行限制,如果输入超时,系统会将其等同于输入错误处理;另外,在输入正确原始密码后可以设置新密码;在输入过程中,可以复位清零,重新输入。具体实现途径为:输入端采用两片4532编码,输出和密码储存分别采用4片4508锁存器;密码比较电路通过4片4063实现;绿灯亮和报警自锁电路通过几组555单稳态电路及相应组件构成;5秒限时功能通过两个555单稳态组合实现。

经过测试,顺利实现了各项预计功能本次设计的电子密码锁,功能多样、使用、比较人性,同时具有极高的可靠性;在输入错误和输入超时会通过蜂蜜器报警、并自锁,具有较强的安保功能……在日常生活和安全领域有广泛应用前景。

第 29 页

8总结与体会

8.1 设计的收获体会

电子密码锁的设计是在数字电路、模拟电路课程后,自己设计的课外科技活动。是教学计划中实践方面的重要组成部分,也是落实本科学生科研训练计划的要求。在着重基础、注重前沿的前提下,促进电子信息类专业和课程的建设,促进教学改革,在教学中注重培养大学生的创新能力、动手能力;加强科学研究和工程实践素质的训练,提高自己对实际问题进行设计、制作的综合能力;为后续的毕业设计和在今后工作岗位上独立开展科学研究打下良好基础。同时提升了同学间的团结协作能力。

对我而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生旅途上一个非常美好的回忆!

由于第一次做课程设计,此设计中遇到了不少问题,在老师和研究生师兄的指导帮助下才顺利的完成了本次课程设计,在此表示衷心的感谢。

本次课程设计是对所学的模拟电子技术基础和数字电子技术基础以及电工电子技术实验的高度总结应用,在设计的过程中发现了自己在知识上所存在的不足,也意识到除了必须具备专业知识以外,还必须具有塌实坚毅不服输的品格。

在这次设计中,自己动手,思考、自学、以及问问题来使自己获得了不少知识,尤其是在实验中知道了74LS160和74HC160的不同之处.本设计采用的事74HC160更容易实现功能!

通过这次设计,让我们初步懂得了课本知识的课外应用,也许这可以说成理论联系实际吧。我们应该更好的学习专业知识,并将其应用于课外生活中。

8.2 对设计的进一步完善提出意见或建议

本次设计还是有许多的不足之处,比如报警声音不都尖锐等。我们在以后可以设计功能更为强大的报警器,这就有待于今后我们在学习中认真领悟、参透。

第 30 页

9 致谢

在这里,我对我们的带课老师表示衷心的感谢。老师在这次课程设计过程中对我们的全方位的指导,是我们这次课程设计取得成功的根本保证。他在我遇到困难时给予我无私的帮助,在完成后帮我加以改进,使得电路能够日益完善,并且相较于最初要求有了更多的创新点。

感谢何老师在电路制作时给予我技术上的帮助,使我能够快速掌握proteu软件,感谢我同组的队友们帮我寻找资料,使我们能够集思广益,发散思维。再次感谢你们给予我的帮助!

第 31 页

10参考文献

[1] 张克农. 数字电子技术[M]. 北京: 高教出版社出版,2006 [2] 曾建唐. 电工电子基础实践教程[M]. 北京:机械工业出版社,2002 [3] 康光华. 电子技术基础[M]. 北京:高教出版社出版,1999

[4] 陈坚,赵玲. 电力电子技术及应用[M]. 北京:中国电力出版社,2006 [5] 石新春. 电力电子技术[M]. 北京:中国电力出版社,2006 [6] 曹丰文. 电力电子技术基础[M]. 北京:中国电力出版社,2007 [7] 贺益康. 电力电子技术[M]. 北京:科学出版社,2004 [8] 黄继昌. 实用报警电路[M]. 北京:人民邮电出版社,2005 [9] 张晓东. 新颖实用电子制作[M]. 福建:科技出版社,2005

[10] 黄智伟.电子电路计算机仿真设计[M]. 北京:电子工业出版社,2005

第 32 页

S19S210U33:BU32:C898051074LS21740806S312S413U1:DINPUT101211SET101374HC38674HC0412U4:AU1:CINPUT11810SET11974HC3863U4:B474HC0412逻辑开关控制密码输入部分自锁U5:A6S145U24:A94016R110k10k10kINPUT12SET1268R5567R8U1:B451XC附录:系统仿真总图

Y2U4:C674HC0474HC38674HC21RR66500k80713LLS1DQ1312SU250651133CLKRR210k2LED-BIRY10k10k5R6开锁指示灯4U22:AR9D14QQRR8Q4013SDCLKVCCRQ3U36:B321U1:AINPUT13SET1313274HC38613U4:D1274HC0411kR10k401310k10k094DC8100975CVSOUNDERR3BCD数码管R7R10GND电子门铃011TR92TH6复位确认按钮U7:D+5vINPUT201211SET201374HC386111CC510k10k10kNE555U16:CU38:A274LS0410uR40913R17R29U4:E1074HC04U32:A5秒控制自锁和报警141561310uF74LS0427408U39:AC213456D0D1D2D3U29SET10SET11SET12SET13Q0Q1Q2Q3RCO1413121115INPUT21SET218U7:C10974HC3869U4:F874HC04910R1806端密码输错输入一个低电平646810D0D1D2D3Q0Q1Q2Q35INPUT107INPUT119INPUT1211INPUT13R19R21360U5:B8S2U9:FU16:AS8U22:BU36:AQ145083.3k10STBOEMR3DCLKR18.18k控制20秒555单稳态的报警信号88U23LS2061274LS043Q40132510kU35231S06989D7Q1308104VCC74LS04SOUNDER4CLKVCC11RQ34R22710291ENPENTCLKLOADMR74HC160U7:BINPUT22SET225461U28:A2121374HC21RQR13Q12DC7DC5确认按钮端10k609CV5CVU26C22U2:A10uSET20SET21SET22SET233456D0D1D2D3Q0Q1Q2Q3RCO141312111509104013R2074HC38674HC04U7:AINPUT23SET231323GNDGND2TRTH62U28:B4TRTH11NE55554321131211105432113121110C6D0D1D2D3STBOEMR4508Q0Q1Q2Q3555接入复位端R23R243.3k36074HC38674HC04EID7D6D5D4D3D2D1D00.01u453245321000uD7D6D5D4D3D2D1D0U15EIGSEOQ2Q1Q0GSEOQ2Q1Q067914151415LS1高6位为虚设16线--4线优先编码器679888VCCVCCDCDC7VCCGNDGNDGND11111

U17231

C4C3468105INPUT207INPUT219INPUT2211INPUT230.01uC5710291ENPENTCLKLOADMR74HC1601u+5vU27INPUT3012U31:DC2311SET301374HC38610u3456SET30SET31SET32SET33D0D1D2D3Q0Q1Q2Q3RCO14131211155U28:C674HC04R11U2:BR13SOUNDER5kU14Q3ORORORORR1216182022D0D1D2D3Q0Q1Q2Q34.55kU11U18141513第 33 页

17INPUT3019INPUT3121INPUT3223INPUT33D2U19U20U211818kU12555多谐控制报警频率R263.3kR25360U31:CINPUT31SET3174HC16074HC386810913U28:D1274HC045秒555单稳态报警4RQ3147102910847LED-BIRYRQ34ENPENTCLKLOADMRRU37:ASTBOEMR4508126S35DC7CV5U16:B5kTH5556CV5CV11342TRTH6U9:ER14U30INPUT325U31:BC24U3:AR283.3k468105INPUT407INPUT419INPUT4211INPUT4310u4SET32674HC386113456SET40SET41SET42SET43D0D1D2D3Q0Q1Q2Q3RCO1413121115U28:E1074HC044574HC211110R152TRTH62TR74LS04C12C15U61uD0D1D2D3Q0Q1Q2Q355510kC11f=1/0.7(R1+2R2)C1174LS04NE555C2给5秒的触发信号C14C13R27360201U31:AU9:AU9:BINPUT33SET3374LS0423174HC16074HC386STBOEMR13290.01u1000u0.01uD0D1D2D3Q0Q1Q2Q3710291U28:F874HC040.01u10uENPENTCLKLOADMR微分电路产生一个脉冲使20秒报警电路工作C1305540210u345615141312U9:C74LS046034508U9:D1374LS0412040727119101SRSLCLKS0S1MR74HC194移位寄存器74LS04U40:DINPUT401211SET401374HC3861U41:A274HC04U40:CINPUT41SET41810974HC3863U41:B474HC04910U37:B8S4U40:BINPUT42SET4254674HC3865U41:C674HC04121374HC21U40:AINPUT43SET4313274HC38613U41:D1274HC04

本文来源:https://www.bwwdw.com/article/c9a3.html

Top