实验4-加减计数器实验报告
更新时间:2023-12-03 11:13:01 阅读量: 教育文库 文档下载
上 海 理 工 大 学
计 算 机 工 程 学 院
实 验 报 告
实验名称 步长可变的加减计数
器
课程名称 ISP在线编程技术
姓名 彭金梅
学号 05010105
日期 2007-12-27
地点 计算机学院机房
成绩
教师 佟国香
一 实验目的:
1 掌握加减计数器以及特殊功能计数器的设计原理。 2.用VHDL语言设计多功能计数器。
二 实验原理:
计数分同步计数器和异步计数器。 1 加减工作原理
加减计数也称可逆计数器,就是根据计数控制信号的不同,在时钟脉冲的作用下,计数器可以进行加1计数操作或者减1计数操作。 2 变步长工作原理
如步长为3的加法计数器,计数状态变化为0 。3。6 。9。12……,步长值由输入端控制。在加法计数时,当计数值达到或超过99时,在计数器下一个时钟脉冲过后,计数器也。
三 实验内容:
1 设计的计数步长可在0~79之间变化
2.通过仿真或观察波形图验证设计的正确性。 3 编译下载验证结果。
四 设计提示
1. 注意IF语句的嵌套。 2. 注意加减计数的变化,计数值由9变0(加法)及由0变9(减法)各位的变化。
由于计数器为十进制计数器,还应考虑进位或借位后进行加6及减6校正。
五 实验步骤:
(1) 代码:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY count is ――实体 PORT(clk,clr,updn:in STD_LOGIC; ――端口 length:in STD_LOGIC_VECTOR(7 downto 0); S:out STD_LOGIC_VECTOR(7 downto 0) );
END count;
ARCHITECTURE countB of count is SIGNAL C_count: STD_LOGIC_VECTOR(7 downto 0); begin
S<= C_count; PROCESS (clk,clr,length,updn)
VARIABLE temp:STD_LOGIC_VECTOR(8 downto 0); BEGIN
if(clr='1') then
C_count<=(others=>'0');
elsif(clk' EVENT and clk='1') then if(updn='1') then
temp:=C_count+length;
if(temp>\ C_count<=( others=>'0'); else
C_count<=C_count+length; end if; else
if(temp C_count<=(others=>'0'); else C_count<=C_count-length; end if; end if; end if; end process; end countB; ――结构体 ――信号量 ――变量 2 仿真截图 上述仿真数据:updn-----判断做加法还是减法 Clk――时钟 Clr――清零 Length(7 downto 0)----步长 S (7 downto 0)――输出 六 实验小结: 这次步长可变的加减计数器需要将二进制数转换成BCD码,代码编了可是没有运行成功。实验过程中的硬件下载出错,没有实现下载结果。不过通过这次实验,可以深刻理解计数器的做法。
正在阅读:
实验4-加减计数器实验报告12-03
节目主持概论 复习资料210-09
执行部机构设置及岗位职责05-12
个人合伙合同08-19
邓世昌02-22
V3.5版本STM32F10XX通用定时器应用指南07-04
高中历史选修4单元总结(二)04-19
SomeoneLikeYou中英对照歌词08-05
Scaler FPLL Set 调整05-04
图书约稿出版合同样本04-20
- exercise2
- 铅锌矿详查地质设计 - 图文
- 厨余垃圾、餐厨垃圾堆肥系统设计方案
- 陈明珠开题报告
- 化工原理精选例题
- 政府形象宣传册营销案例
- 小学一至三年级语文阅读专项练习题
- 2014.民诉 期末考试 复习题
- 巅峰智业 - 做好顶层设计对建设城市的重要意义
- (三起)冀教版三年级英语上册Unit4 Lesson24练习题及答案
- 2017年实心轮胎现状及发展趋势分析(目录)
- 基于GIS的农用地定级技术研究定稿
- 2017-2022年中国医疗保健市场调查与市场前景预测报告(目录) - 图文
- 作业
- OFDM技术仿真(MATLAB代码) - 图文
- Android工程师笔试题及答案
- 生命密码联合密码
- 空间地上权若干法律问题探究
- 江苏学业水平测试《机械基础》模拟试题
- 选课走班实施方案
- 实验
- 计数器
- 报告
- 2018汽车危废管理计划书(样本)
- 2010年监理工程师《合同管理》模拟试题(十一)
- 宝钢集团2008年年末综合能力分析报告
- 浙教版八年级科学上册同步试题:2.7 我国的气候特征与主要气象灾害(1)
- 高中化学专题3溶液中的离子反应第一单元弱电解质的电离平衡3水的电离学案苏教版选修40818457.doc
- 高职大班英语小组合作学习模式研究-最新教育资料
- 101 The One Where Monica Gets a New Roommate
- 2019春九年级数学下册29投影与视图29.2三视图(第1课时)学案(新版)新人教版
- 初中语文经典古诗文教学诵读教学策略实践课题研究
- 清远市清城区东城街莲塘小学2017年“童心向党”暨“六一文艺汇演”活动方案
- 飞机维修机务考试 试题145
- 钢结构吊装专项施工方案
- 对农村两委班子建设中存在的问题的调查报告(精)
- 2014年4月12日联考有何特点 如何复习
- 税二第6章车船税(课后作业)
- 河北省枣强中学2016届高三上学期期中考试数学(理)试题 Word版含答案
- 广州大学通识课程目录
- 山作文之梵净山梵净山导游词2篇
- 竣工图 绘制方法
- 青岛啤酒节对于城市的意义以及啤酒节的成功