数字电子技术实验指导书2011

更新时间:2023-11-05 15:53:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

《数字电子技术》

验指导书

安阳工学院

电子信息与电气工程学院实验要求

一、实验前必须充分预习,完成指定的预习任务。

二、用仪器和实验箱前必须了解其性能、操作方法及注意事项,在操作时应严格

遵守。

三、实验时接线要认真,相互仔细检查,确定无误才能接通电源。

四、实验时应注意观察,若发现有破坏性异常现象,例如元件冒烟、发烫或有异

味等,应立即关断电源,保持现场,报告指导老师。找出原因、排除故障,经指导老师同意后再继续做实验。

五、实验过程中需要改接线时,应关断电源后才能拆、接线,使用自锁紧插头时,

严禁用力拉线,拆线时,应手捏线端并旋转轻微向上用力拔起,以防线被拉断。

六、实验过程中要仔细观察实验现象,记录实验结果(数据、波形、现象)。所

记录的实验结果经指导老师审阅签字后再拆除实验线路。

七、实验结束后,必须关断电源、拔出电源插头,并将仪器、设备、工具、导线

等按规定整理。

八、实验后每个学生必须按要求独立完成实验报告。

数字电路实验箱使用说明

本实验箱可以完成数字电路课程实验,由实验板和保护箱组成。该实验箱的实验板采用独特的两用板工艺,正面贴膜,印有原理图及符号,反面为印制导线并焊有相应元器件,需要测量及观察的部分装有自锁紧式接插件,使用直观、可靠。

一、技术性能及配置 1、电源

输入 : AC220V 士 10 %。

输出 : DC 5V/1A 、 DC 1、25V ~ 15V/0、2A (两路) 有过载保护及自动恢复功能。 2、信号源

单脉冲:为消抖动脉冲,可同时输出正负两个脉冲,前后沿 ≤20ns ,脉冲宽度 ≤0、2μs ,脉冲幅值为 TTL 电平。

连续脉冲:两组,一组为 4 路固定频率的方波。其频率分别为 200KHZ 、 100KHz、50KHz、25KHz 。另一组为: 1Hz~5KHz 连续可调方波,分二档由开关切换,两路输出均为 TTL 电平。

3、八组逻辑电平开关:可输出 “O”、“1” 电平。置于H时输出为+5V,置于L时输出为0。

4、八位电平显示:由红色 LED 及驱动电路组成。当政逻辑“1”电平送入时LED亮,反之不亮。

5、数码显示:由二位7段LED数码管及二一十进制译码器组成。

6、元件库:由开关、电位器、扬声器、二极管、阻容元件构成 , 其参数均在面扳上标明。

7、 圆孔型双列直插式集成电路插座: 14脚10只,16只脚3只,20 脚 1 只。 二、电路原理

本实验箱有电源、信号源、电平指示、电平开关、数码管等部分组成。相应电路及器件在面板背面的印制电路板上。 三、使用方法

1、将标有220V的电源插入市电插座,接通开关,面板指示灯亮,表示实验箱电源正常工作。

2、连接线:实验箱面板上的插孔应使用专用的连接线,该连接线插头可叠插使用,顺时针向下旋转即锁紧,逆时针向下旋转即可松开。拔出时不要直接拉导线。

3、面板上IC插座均未接电源,实验时应按插入IC的引脚接好相应的电源线才能正常工作。

4、IC插入插座前应调整好双列引线间距,仔细对准插座后均匀压入,拔出时需用螺丝刀从旁边轻轻翘起。

5、实验时应先阅读实验指导书,在断开电源开关的状态下按实验线路接好连接线(实验中用到可调直流电源时,应在该电源调到实验值在接到实验电路中),检查无误后接通电源。

6、实验时要更改接线或元器件,应先关断电源开关,插错或多余的线要拔去,不能一端插在电路上,另一端悬空,防止碰到电路其他部分。

为保证实验顺利进行,要注意所有集成电路的使用规则,特别是对输入空端和多余电路单元要按规定接相应电平。

目 录

实验一 门电路逻辑功能测试????????????????????1 实验二 组合逻辑电路设计?????????????????????5 实验三 译码器和数据选择器????????????????????8 实验四 集成触发器的应用 ????????????????????11 实验五 时序逻辑电路设计与分析?????????????????14 实验六 555集成定时器的应用 ??????????????????16 实验七 集成计数器的应用 ????????????????????18 实验八 D/A和A/D转换电路????????????????????20 实验九 四路优先抢答器设计???????????????????23 实验十 交通控制器设计(PFGA)??????????????????24 附 录 ?????????????????????????????25

实验一 门电路逻辑功能及测试

一、实验目的

1.掌握集成门电路的逻辑功能和主要参数的测试方法。 2.熟悉数字电路实验箱及示波器使用方法。 3.学会如何使用集成门电路。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门 2片

74LS20 四输入端双与非门 1片 74HC86 二输入端四异或门 1片 74LS04 六反相器 1片

三、预习要求

1. 复习门电路的工作原理及相应逻辑表达式。 2.熟悉所用集成电路的引线位置及各引线用途。 3. 了解双踪示波器使用方法。 四、实验内容

实验前按学习机使用说明先检查学习机电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电实验。实验中改动接线须先断开电源,接好线后再通电实验。

1. 测试门电路逻辑功能

(1)选用双四输入与非门74LS20一只,插入面包板,按图1.1接线:输入端(第1、2、4、5管脚)接电平开关,输出端(第6管脚)接电平显示发光二极管(注意:74LS20第7管脚接地,第14管脚接电源)。 (2)将电平开关按表1.l置位,分别测输出电压及逻辑状态。

1

表1.1

输入 1 H L L L L 2 H H L L L 输出 4 H H H L L 5 H H H H L 6 电压(V)

图1.1 74LS20功能测试图

2. 异或门逻辑功能测试

(1) 选二输入四异或门电路74HC86, 按图1.2接线,输入端1、2、4、5接电平开关,输出端A、B、Y接电平显示发光二级管。

图1.2 74HC86连接图

(2) 将电平开关按表1.2置位,将结果填入表中。

2

表1.2

输入 1 L H H H H L 2 L L H H H H 4 L L L H H L 5 L L L L H H A B 输出 Y Y电压(V)

3.逻辑电路的逻辑关系

(1) 用74LS00,按图1.3接线,将输入输出逻辑关系分别填入表1.3中。 (2) 写出电路逻辑表达式。

图1.3 74LS00连接图

表 1.3

输入 A 0 0 1 1 B 0 1 0 1 输出 Y

4.用与非门组成其它门电路

(1) 用一片二输入端四与非门74LS00组成或非门 Y?(A?B)'。

3

(2) 画出电路图,测试其功能并填表1.4。

表1.4 输入 A 0 0 1 1 B 0 1 0 1 输出 Y 5.平均传输延迟时间tpd的测试(选做)

用六反相器74LS04按图1.4接线,观察电路输出波形,并测量反相器的平均传输延迟时间。设各个门电路的平均传输延迟时间为tpd,用奇数个非门环形连在一起,电路会产生一定频率的自激振荡。如果用示波器测出输出波形的周期T,就可以间接地计算出门电路的平均传输延迟时间:tpd=T/(2n),式中n是连接成环形的门的个数。

图1.4 奇数个非门连成振荡器 五、实验报告

1.按各步聚要求记录实验测得的数据、写表达式、画电路图。 2.回答问题:

(1) 怎样判断门电路逻辑功能是否正常?

(2) 与非门一个输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过?

(3) 异或门又称可控反相门,为什么?

4

实验二 组合逻辑电路设计

一、实验目的

1.掌握组合逻辑电路的功能测试方法。 2.验证半加器和全加器的逻辑功能。 3.掌握组合逻辑电路的设计方法。

4.加深理解典型组合逻辑电路的工作原理。 二、实验仪器及材料

器件 74LS00 二输入端四与非门 3片 74HC86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片 三、预习要求

1.预习组合逻辑电路的分析方法和设计方法。

2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.预习二进制数的运算。 四、实验内容

1.组合逻辑功能测试

(1)用两片74LS00组成图2.1所示的逻辑电路。

(2)A、B、C接开关电平,Y1、Y2接发光二极管电平显示。

(3)按表2.1要求,改变A、B、C的状态并填表,写出Y1、Y2表达式。

图2.1 74LS00组成的组合逻辑电路

5

表2.1

输入 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 输出 2. 半加器设计及功能测试

(1) 用与非门74LS00和异或门74HC86设计一个半加器。

(2)组装所设计的半加器电路,并验证其功能是否正确,填表2.2。 (3)写出输出与输入之间的逻辑表达式。

表2.2

A 输入端 B S(和) 输出端 CO(进位) 0 0 1 1 0 1 0 1 3. 全加器设计及功能测试

(1) 用与非门74LS00和与或非门74LS54设计一个全加器。 (2)组装所设计的全加器电路,并验证其功能是否正确,填表2.3。 (3)写出输出与输入之间的逻辑表达式。

表2.3

Ai 0 0 1 Bi 0 1 0 Ci-i 0 0 0 Ci(进位) Si(和) 6

1 0 0 1 1 1 0 1 0 1 0 1 1 1 1

五、实验报告

1. 写出实验电路的设计过程,并按要求画出设计电路图。 2.记录所设计电路的实验结果,并与设计要求进行比较。

7

实验三 译码器和数据选择器

一、实验目的

1. 加深理解译码器和数据选择器的逻辑功能。 2. 掌握译码器和数据选择器的使用方法。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS139 2—4线译码器 1片 74LS153 双4选1数据选择器 1片 74LS00 二输入端四与非门 1片 三、预习要求

1.熟悉74LS139和74LS153的功能及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1. 译码器功能测试

将74LS139译码器按图3.1接线,按表3.1所示输入电平的状态分别置位相应的电平开关,填输出状态表。

接电平开关接电平显示12345678VCC 1G2G1A2A1B2B1Y02Y01Y12Y11Y22Y21Y3GND2Y374LS139161514131211109

图3.1 74LS139接线图

8

表3.1

输入 使能 G H L L L L B X L L H H 选择 A X L H L H Y0 Y1 输出 Y2 Y3 2. 译码器转换

将双2—4线译码器74LS139转换为3—8线译码器。 (1) 画出转换电路图。

(2) 组装所设计电路,并验证设计是否正确。 (3) 设计并填写该3—8线译码器功能表。 3. 数据选择器的测试及应用

(1) 将双4选1数据选择器74LS153参照图3.2接线,测试其功能并填写功能表3.2。

(2) 将实验箱脉冲信号源中固定连续脉冲4个不同频率的信号接到数据选择 器4个输入端,输出端1Y接示波器,将选端择置位,利用示波器观察输出波形,填表3.3。

(3) 分析上述实验结果并总结数据选择器作用。

接电平开关200kHz100kHz50kHz25kHz接示波器12345678161514131211109VCC 1G2GBA1C32C31C22C21C12C11C02C01YGND2Y74LS153

图3.2 74LS153接线图

9

表3.2

控制端 B A X X L L L L L H L H H L H L H H H H 数据输入端 C0 C1 C2 C3 X X X X L X X X H X X X X L X X X H X X X X L X X X H X X X X L X X X H 表3.3

输出控制 G H L L L L L L L L 输出 Y 数据输入端 选择端 输出控制 输出 G L L L L Y C0 C1 C2 C3 B A

4. 数据选择器转换

C0=25kHz C1=50kHz C2=100kHz C3=200kHz L L L H H L H H 设计一个电路,将74LS153转换成8选1数据选择器。 (1) 画出转换电路图。

(2) 组装所设计电路,并验证设计是否正确。 (3) 设计并填写该8选1数据选择器的功能表。 五、实验报告

1. 画出实验要求的转换电路图。 2. 设计并填写实验所要求的功能表。 3. 总结译码器和数据选择的使用体会。

10

实验四 集成触发器的应用

一、实验目的

1.加深理解触发器的逻辑功能,掌握触发器的功能转换。 2.加深理解触发器的电平触发方式和边沿触发方式的特点。 3.学习集成触发器的应用。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门 1片 74LS74 双D触发器 1片 74LS112 双JK触发器 1片 三、预习要求

1.熟悉74LS74和74LS112的功能及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1.测试双D触发器74LS74的逻辑功能 双D触发器74LS74的逻辑符号如图4.1所示。

4~1PR21D1Q531CLK~1Q6~1CLR174LS74N

图4.1 74LS74逻辑符号

试按下面步骤做实验:

(1)分别在CLR′、PR′ 端加低电平,观察并记录Q、Q′ 端的状态。 (2)令CLR′、PR′端为高电平,D端分别接高,低电平,用点动脉冲作为CLK, 观察并记录当CLK为O、↑、1、↓时Q端状态的变化。

11

整理上述实验数据,将结果填入下表4.1中。

表4.1

CLR′ 0 PR′ 1 CLK × D × 1 0 1 0 × × 1 0 1 1 0 × 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 × 1 0 × 1 0 Q 0 Q* 2. 测试双JK触发器74LS112的逻辑功能

双JK负边沿触发器74LS112芯片的逻辑符号如图4.2所示。 (1)分别在CLR′、PR′ 端加低电平,观察并记录Q、Q′ 端的状态。 (2)令CLR′、PR′端为高电平,J、K端分别接高,低电平,用点动脉冲作为 CLK,观察并记录当CLK为O、↑、1、↓时Q端状态的变化。

整理上述实验数据,并将结果填入4.2中。

4~1PR3121J1CLK1K~1Q~1CLR1561Q574LS112N

图4.2 74LS112逻辑符号

12

表4.2

CLR′ 0 1 1 1 1 1 1 1 1 1 1 1 PR′ 1 0 1 1 1 1 1 1 1 1 1 1 CLK × × 1 1 0 0 J × × × × × × × × 0 1 × × K × × × × × × × × × × 0 1 Q × × 0 1 0 1 0 1 0 0 1 1 Q* 3.触发器功能转换

(1) 将D触发器转换成JK触发器,列出表达式,画出实验电路图。 (2) 自拟实验数据表并填写之,比较两者关系。 4.触发器应用(选做)

用双D触发器74LS74设计一个单次脉冲发生器。该电路的功能要求是:在高频系列脉冲和手动脉冲的共同作用下,只要手动脉冲作用一次,不管手动脉冲的周期多长,电路只输出一个高频系列脉冲周期宽度的脉冲信号。画出电路连接图,并测试其逻辑功能。 五、实验报告

1.整理实验数据并填表。

2.写出实验电路的设计过程,并画电路图。 3.整理实验数据,并对结果进行分析。 4.总结各类触发器特点。

13

实验五 时序逻辑电路设计与分析

一、实验目的

1.加深理解时序逻辑电路的工作原理。 2.掌握时序逻辑电路的设计方法。 3.学习时序逻辑电路的功能测试方法。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门 1片 74LS175 四D触发器 1片 74LS112 双J-K触发器 2片

74LS11 三输入端三与门 1片

三、预习要求

1.熟悉所用集成电路的逻辑功能及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1.同步二进制加法计数器设计

(1)用2片双J-K触发器74LS112设计一个4位同步二进制加法计数器。 (2)验证所设计的同步二进制加法计数器功能,记录实验结果。 2. 同步十进制加法计数器设计

(1)用2片双J-K触发器74LS112和1片三输入与门74LS11设计一个8421BCD码的同步十进制加法计数器。

(2)验证所设计的同步十进制加法计数器功能,记录实验结果。 3. 环形计数器设计

(1)利用1片四D触发器74LS175设计一个环形计数器。

(2)将触发器的初始状态设置为1000,用单脉冲计数,观察各触发器的状态。

(3)采用连续脉冲计数,并将其中一个状态为“0”的触发器置为“1”(模

14

拟干扰信号作用的结果)。观察计数器能否正常工作。分析原因。 五、实验原理与说明

1. 时序逻辑电路的设计方法

(1)分析题意, 确定输入、输出变量,画出状态转换图。 (2)进行状态化简,选定所需的状态数和触发器个数。 (3)状态分配,将所需状态用各触发器的输出组合来表示。

(4)列出初态到次态的状态转换以及实现转换对个触发器输入端的要求。 (5)求出个触发器的驱动方程和输出方程。 (6)画电路图。

(7)检查电路能否自启动。 2. 时序逻辑电路的功能测试

时序逻辑电路的功能测试可以通过数码管、LED来静态测试,也可以通过示波器来进行动态测试。以十进制加法计数器为例,其实验过程如下:

(1)连接好电路,输出连数码管,用手控脉冲作为计数脉冲输入进行调试。 (2)触发器的输出连数码管模块的DCBA输入,计数脉冲采用1Hz的方波,观察数码管的显示结果。

(3)计数脉冲采用1KHz的脉冲信号,用示波器观察CLK端机4个触发器输出端的波形。

(4)检查电路能否自启动。 在CLK脉冲未加入前,先将输出置成循环状态以外的无效态,然后再加入计数脉冲,观察电路能否进入有效循环状态。 六、实验报告

1.写出实验电路的设计过程,列出逻辑函数式,画出设计电路图。 2.记录所设计电路的实验结果,并与设计要求进行比较。

3.问题讨论:在用示波器观察时序逻辑电路的输出波形时,如何观察CLK及各个输出地时序关系?

15

5.74LS27

14 VCC 1C 1Y 3C 3B 3A 3Y 13 12 11 10 9 8 三3输入或非门

74LS27 Y=(A?B?C)?

1A 1B 2A 2B 2C 2Y GND 1 2 3 4 5 6 7

6.74LS54 四路(2-3-3-2)输入与或非门 Y=(AB?CDE?FGH?IK)?

7.74LS74 双正沿触发D触发器

8.74LS86 四2输入异或门

Y=A⊕B

9.74LS112 双负沿触发JK触发器

14 VCC J 13 I 12 H 11 10 G 9 F 8 NC 74LS54 1 2 3 4 5 6 7 A B C D E Y GND __

__

__

V14 CC 2R13 d 12 2D 2CP 2S11 10 d 2Q 9 2Q8 74LS74 1 __2 3 4 __5 __6 7 1R d 1D 1CP 1Sd 1Q 1Q GND

VCC 4B 4A 4Y 3B 3A 3Y 14 13 12 11 10 9 8 74LS86 1 2 3 4 5 6 7 1A 1B 1Y 2A 2B 2Y GND

______

VCC 1Rd 2Rd 2CP 2K 2J 2Sd 2Q 16 15 14 13 12 11 10 9 74LS112 1 2 3 __4 5 __6 _7 _8 1CP 1K 1J 1Sd 1Q 1Q 2Q GND

26

V Y Y Y Y Y Y Y__

__

__

__

__

__

__

16 CC15 014 113 212 311 410 59 6

10.74LS138 1 3线-8线译码器

2 74LS138 3 A0 A1 A2 GA GB G1 Y7 GND

__4 __5 6 __7 8

11.74LS139 双2线-8线译码

12.74LS147 10线-4线优先编码器

13.74LS151 8选1数据选择器

14.74LS153 双4选1数据选择器

__________

V16 CC 2G15 2A 14 13 2B 12 2Y0 2Y11 1 2Y10 2 2Y9 3 74LS139 1 __2 3 __4 __5 __6 __7 8 1G 1A 1B 1Y0 1Y1 1Y2 1Y3 GND

____________

V

16 CC NC 15 14 D 13 3 12 2 111 910 A9 74LS147 __1 __2 __3 __4 __5 __6 __7 8 4 5 6 7 8 C B GND

V CC D4 D5 D6 D7 A0 A1 A2 16 15 14 13 12 11 10 9 74LS151 1 2 3 4 5 _6 _____7 8 D3 D2 D1 D0 Y W ST GND

____

V16 CC 2ST A15 14 0 2D13 3 2D12 2 2D11 1 2D10 0 2Y 9 74LS153 1 2 3 4 5 6 7 8 ____1ST A1 1D3 1D2 1D1 1D0 1Y GND

27

实验六 555集成定时器的应用

一、实验目的

1.熟悉555集成定时器的组成及工作原理。 2.掌握555集成定时器的典型应用。

3.掌握555集成定时器应用电路的测量和调试方法。 二、实验仪器及材料

1.双踪示波器

2.器件 LM555CN 集成定时器 1片

电阻 电容

三、预习要求

1.复习集成定时器的内容,掌握集成定时器的工作原理及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1.用555集成定时器构成SR锁存器

(1)用LM555CN集成定时器设计一个SR锁存器。 (2)测试所设计的SR锁存器的功能,记录实验结果。 2. 用555集成定时器构成单稳态触发器

(1)用LM555CN集成定时器设计一个单稳态触发器,要求脉冲宽度为10ms。 (2)测试所设计的单稳态触发器功能,用示波器观察输入信号和输出信号的电压波形,记录波形,并在波形中标出周期、幅度和脉宽。

3. 用555集成定时器构成施密特触发器

(1)用LM555CN集成定时器设计一个施密特触发器。

(2)测试所设计的施密特触发器的功能,用示波器观察输入信号和输出信号的电压波形,记录波形,求出施密特触发器的正向和反向阈值电压,并计算回差电压。

4. 用555集成定时器构成多谐振荡器

16

(1)用LM555CN集成定时器设计一个占空比可调的多谐振荡器。

(2)用示波器观察高触发端(TH)和输出端的电压波形,记录波形,并在波形中标出周期、幅度和脉宽。 五、实验报告

1.写出实验电路的设计过程,简述各电路的工作原理。 2.记录所设计电路的实验结果,并与设计要求进行比较。

3.将脉宽、周期等指标的实测值和理论值进行比较,分析产生误差的原因。

17

实验七 集成计数器的应用

一、实验目的

1.加深理解中规模集成计数器的工作原理。

2.掌握集成计数器的反馈清零、反馈置数和级联等功能扩展方法。 3.掌握任意进制计数器的构成方法。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS192 同步十进制可逆计数器 2片 74LS00 二输入端四与非门 1片 三、预习要求

1.复习集成定时器的内容,掌握集成定时器的工作原理及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1. 测试74LS192的逻辑功能 2.利用反馈清零法构成计数器

(1)利用反馈清零法将74LS192设计成一个七进制计数器。 (2)连接所设计的电路,测试其功能。 3. 利用反馈置数法构成计数器

(1)利用反馈置数法将74LS192设计成一个九进制计数器。 (2)连接所设计的电路,测试其功能。 4. 利用级联法构成计数器

(1)利用级联方法将2片74LS192设计成60进制的计数器。 (2)用两位LED数码管显示计数结果。 (3)用红色发光二极管指示进位信号。 五、实验报告

1.写出测试74LS192逻辑功能的方法。 2. 写出实验电路的设计过程,画出设计电路图。

18

3.记录所设计电路的测试结果和有关波形。

19

实验八 D/A和A/D转换电路

一、验目的

1.深入理解D/A和A/D转换的工作原理。 2.熟悉DAC0808和ADC0804的主要技术指标。 3.掌握DAC0808和ADC0804的使用方法。 二、实验仪器及材料

1.双踪示波器

2.器件 DAC0808 集成数模转换器 1片 ADC0804 集成模数转换器 1片

uA741 集成运算放大器 1片 电阻、电容、电位器 若干

三、预习要求

1.了解DAC0808、ADC0804的功能及引脚排列。 2.复习A/D和D/A转换的原理。

3.用Multisim软件对所设计的电路进行仿真,验证其功能。 四、实验原理

数一模转换器(D/A转换器,简称DAC)是用来将数字量转换成模拟量;模数转换器(A/D转换器、简称ADC)是将模拟量转换成数字量。目前A/D、D/A转换器较多,本实验选用大规模集成电路DAC0808和ADC0804来分别实现D/A转换和A/D转换。

1.DAC0808简介

DAC0808是一个8位并行的D/A转换器,其主要性能指标为:分辨率为1/256(即0.39%),最大误差为±0.19%,最大满量程偏差为±1LSB,转换时间为150ns。ADC0808的引脚排列如图8.1所示。

2.ADC0804简介

DAC0804是一个8位逐次逼近型A/D转换器,其主要性能指标为:分辨率为8位,非线性误差为±1/4 LSB~±1LSB,转换时间为100μs,采用+5V单一电源供电,单通道输入方式。ADC0804的引脚排列如图8.2所示。

20

图8.1 DAC0808引脚排列图 图8.2 ADC0804引脚图

被转换的模拟电压从ADC0804的第6和第7管脚输入,输入的模拟电压允许为差分信号或不共地的电压信号。实际应用时应将模拟地(第8管脚)和数字地(第10管脚)分开,以使数字电路的地电流不流过模拟信号回路,防止寄生耦合所造成的干扰。时钟信号可以采用外接时钟,直接从LCK IN(第4管脚)引入,也可以利用LCK IN和CLK R(第19管脚)接电阻R和电容C产生,其频率f=1/1.1RC。

3. DAC0808的典型应用

DAC0808的输出形式为电流,最大可达2mA,因此若要获得模拟电压输出,还需要外接集成运算放大器将电流输出转换为电压输出。DAC0808的典型应用电路如图8.3所示。

图8.3 ADC0808典型应用电路图 五、实验内容

1. 用可逆计数器74LS191和集成运算放大器设计一个4位的D/A转换电路。

21

2. 测试DAC0808的功能,加入8位数字量,对数模转换器DAC0808进行D/A转换实验。

3. 测试ADC0804的功能,在模拟信号输入端加上0~5V的可调直流电压,记录输出数字量。

4. 用加法计数器74LS161和DAC0808设计一个产生阶梯波形的电路。 5. 将模数转换器和数模转换器连接起来进行实验,比较输入端电压和输出端电压的差异。

(1)当输入模拟电压使ADC的输出D7~D0依次单独出现1时,用万用表测量DAC的模拟输出电压。

(2)将输入模拟电压改成经过半波整流后的单极性电压信号,用双踪示波器观察模数转换的输入信号和经过数模转换后的输出电压信号,记录两者的波形,并对两者波形进行比较和分析。 六、实验报告

1.根据要求设计实验电路,简述各电路工作原理。 2. 记录实验结果,整理实验数据,画出示波器波形。

22

实验九 四路优先抢答器设计

一、实验目的

1.掌握D触发器、与非门等数字逻辑基本电路原理及应用。 2.了解简单数字系统实验、调试及故障排除方法。 3.熟悉智力竞赛抢答器的工作原理。 二、实验任务

1.每个参赛者控制一个抢答按钮,按动抢答按钮发出抢答信号。

2.竞赛主持人控制一个复位按钮,用于将电路复位,发出抢答开始信号。 3.竞赛开始后,先按动按钮者将对应的一个发光二极管点亮,此时其他3人按动按钮对电路不起作用。 三、主要仪器及器材

数字电路实验箱 NE555 按键开关 开关 电阻 电容 四、预习要求

分析设计要求,制定实验方案,画实验电路图,用Multisim软件对所设计的电路进行仿真,验证其功能。 五、报告要求

有详细设计步骤,实验电路图,实验结果分析。

23

实验十 交通控制器设计(PFGA)

一、实验目的

1.掌握VHDL描述电路的方法。 2.掌握FPGA电路设计的方法。 3. 熟悉QuartusⅡ软件的应用方法。 二、实验任务

1.设计一个基于FPGA的交通灯控制器。

2.在十字路口,每条道路各有一组红、黄、绿灯和LED数码显示器。 3.用红灯亮表示禁止通行,黄灯亮表示停车,绿灯亮表示通行。 4.数码管用来进行通行或禁止时间的倒计时显示。 5.红灯、绿灯每次亮30S,在绿灯亮的最后3S黄灯亮。 三、主要仪器及器材

数字电路实验箱 FPGA及开发系统 四、预习要求

分析设计要求,制定实验方案,画电路结构框图。 五、报告要求 1. 列出设计步骤。

2. 给出电路元件符号及端口说明。 3. 给出VHDL源程序。 4. 给出功能仿真结果。 5. 给出FPGA设计结果。

24

附 录

74LS系列TTL电路外引线排列(顶视)。 1.74LS00 四2输入正与非门 Y=(AB)?

2.74LS04 六反相器 Y=A?

3.74LS10 三3输入正与非门 Y=(ABC)?

4.74LS20 双4输入正与非门 Y=(ABCD)?

VCC 4B 4A 4Y 3B 3A 3Y

14 13 12 11 10 9 8 74LS00 1 2 3 4 5 6 7 1A 1B 1Y 2A 2B 2Y GND V14 CC 6A 6Y 5A 5Y 4A 4Y 13 12 11 10 9 8 74LS04 1 2 3 4 5 6 7 1A 1Y 2A 2Y 3A 3Y GND V CC 1C 1Y 3C 3B 10 3A 3Y 14 13 12 11 9 8 74LS10 1A 1B 1 2 2A 3 2B 4 5 2C 2Y GND 6 7 VCC 2D 2C NC 2B 2A 2Y 14 13 12 11 10 9 8 74LS20 1 2 3 4 5 6 7 1A 1B NC 1C 1D 1Y GND 25

本文来源:https://www.bwwdw.com/article/b882.html

Top