北华大学数字电子实习报告汽车尾灯

更新时间:2023-08-25 03:19:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

数字电子实习报告

题 目: 汽车尾灯设计 专 业: 自动化 班级学号: 12-2 39 姓 名: 于兴涛 指导老师: 赵莹 日 期: 2014/6/30-2014/7/4

目录

1 实习目的 …………………………………………………………………………………………3

2 Maxplus2简介………………………………………………………………………….......3 3 汽车尾灯电路的设计………………………………………………………………….….4

3.1 设计任务及要求…………………………………………………………………….4 3.2 系统总体设计方案…………………………………………………………….…..5

3.2.1 总体设计方案………………………………………………………………….5 3.2.2 方案特点………………………………………………………………………...5 3.3 控制电路设计…………………………………………………………………….….5 3.4 计数电路设计………………………………………………………………….…….6 3.5 系统总体电路设计…………………………………………………………………7 3.6 系统调试………………………………………………………………………………..7

3.6.1 右转过程调试……………………………………………………………….…7 3.6.2 刹车过程调试………………………………………………………………….8 3.6.3 报警过程调试……………………………………………………….…………8 3.6.4 进行试验箱模拟………………………………………………………………8

4 元件清单……………………………………………………………………………………….10 5 实习心得……………………………………………………………………………………….10 6 参考文献………………………………………………………………………..……………..11

1.实习目的

掌握现代数字系统的设计方法;

学会使用Max+plusII软件设计数字电路系统并进行仿真和分析; 熟悉数字电路系统及FPGA实验箱的硬件调试方法。

2MAXPLUS2软件介绍

本次实习用到的Max+plusII(或写成Maxplus2,或MP2) 软件是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用Max+plusII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,Max+plusII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用Max+plusII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面,Max+plusII被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用。 Max+plusⅡ开发系统的特点: 1、开放的界面

Max+plusⅡ支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,

Viewlogic和其它公司所提供的EDA工具接口。

2、与结构无关

Max+plusⅡ系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、

FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。

3、完全集成化

Max+plusⅡ的设计输入、处理与较验功能全部集成在统一的开发环境

下,这样可以加快动态调试、缩短开发周期。

4、丰富的设计库

Max+plusⅡ提供丰富的库单元供设计者调用,其中包括74系列的全部

器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。

5、模块化工具

设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设

计环境用户化。

6、硬件描述语言(HDL)

Max+plusⅡ软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL

和Altera自己的硬件描述语言AHDL。

7、Opencore特征

Max+plusⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。

使用Max+plusII的步骤,可简化为: 1.设计输入 ; 2.设计编译 ; 3.设计仿真;

4.下载。

EDA实验箱编程下载通用性强,主板含FPGA/CPLD通用下载编程模块,可对Altera、Lattice、Xilinx等多家国际知名公司几乎所有的CPLD/FPGA进行在系统编程下载,并支持对不同工作电压CPLD/FPGA的编程,且在编程中无须做任何跳线切换即能自动识别主系统上的芯片,安全可靠。 实验箱是一个开放的平台,不仅开放了主板中所有的I/O口,采用了有连线和免连线相结合的操作方式,还可实现实验箱之间级联以构成更复杂的实验系统,学生在完成基本实验的基础上,还可以进行扩展开发,可以构成主板+CPLD/FPGA+单片机、主板+DSP+单片机、主板+CPLD/FPGA+DSP等多重系统。 可在MAX+plusII、QuartusII两种开发环境下完成全部实验(提供两种开发环境下的全部代码),用户可自主选择。

3汽车尾灯的设计 3.1设计任务及要求

设计任务:

用发光二级管模拟汽车尾部左右两侧6个指示灯(汽车每侧三个灯),

用两个拨动式开关作为左右转弯信号源

汽车正常运行时指示灯全灭;右转弯时右侧三个指示灯按右循环顺序

点亮;左转弯时左侧三个指示灯按左循环顺序点亮;周期为一秒。 用一个开关模拟脚踏制动器,临时刹车时所有指示灯同时点亮;如果

两个拨动式开关被接通则紧急警告灯点亮。

设计要求:

分析以上设计任务,由于汽车左转弯、右转弯、刹车时所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个输出控制一个计数器74160,计数器输出为高电平时就点亮不同的尾灯(由发光二级管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系如汽车尾灯与汽车运行状态表所示。汽车尾灯控制电路设计总体框图如图1所示

表1 汽车尾灯与汽车运行状态表

图1 汽车尾灯控制电路设计总体框图

3.2系统总体设计方案

3.2.1总体设计方案

汽车尾灯电路有左转、右转、刹车、误动作四种状态,所以用一片74138

译码器来产生四种控制状态;右转灯一共有三盏,而且工作时是按从左往右的顺序亮的,所以用一片74160产生不同的频率,分别控制每盏灯。左转电路与右转电路类似。

3.2.2方案特点

电路用的器件都为常规器件,只需与门和非门,电路简单。电路工作

稳定,功耗低。控制电路主要由一片74138组成,分别产生置零、左转、右转、报警和刹车五种信号;计数电路由两片74160组成,左右分别有一片,74160接成4进制,并用预置数端置零,产生的00、01、10、11四种信号分别通过与门和非门的组合来控制三盏灯按要求亮。信号从74138到74160再到各个灯。

3.3控制电路设计

74138的A B C端分别接右转、左转、刹车控制信号,输出端Y0产生置

零信号,Y1产生右转信号,Y2产生左转信号,Y3产生误动作报警信号,Y4~Y7产生刹车信号,此时不管左转和右转控制开关处于什么状态,刹车信号都控制灯亮。

图2 总体控制电路设计图

3.4计数电路设计

右转电路设计如下图

图3 右转电路设计图

左转电路与右转电路基本一致,不再累述。

3.5系统总体电路设计

图4 系统总体设计图

3.6电路调试

将电路都连好后,加上时钟信号,置right端为高电平,其他两个端为低电平,则右转的三盏灯按从左往右的顺序亮。仿真波形如下图5所示。

3.6.1右转过程调试

图5 右转过程仿真波形

左转与右转相似不做叙述。

3.6.2刹车过程调试

置break端为高电平,其他两个端无论为高或低电平,则六盏灯同时亮。仿真波形如下图6所示。

图6 刹车过程仿真波形

3.6.3报警过程调试

置right和left端同时为高电平,break为低电平,则尾灯不亮,报警灯亮 仿真波形如下图7所示。

图7 报警过程仿真波形

3.6.4进行试验箱模拟

将设计图下载到试验箱中进行实际仿真测试

各引脚设置如引脚设置图1及引脚设置图2所示

图8 引脚设置图1

图9 引脚设置图2

图10 设置成功

4元件清单

5实习心得

紧张的一周的数电实习结束了,虽然很紧张很累,但是我觉得很充实学到了很多东西。

首先是学会了数字电路系统的设计方法,掌握了Max+plusⅡ的使用方法,学会使用数电实验箱FPGA芯片来进行数字电路系统的实现,这些都为我们以后更好的学习数电打下了良好的基础。

Max+plusⅡ软件是Altera公司推出的的第三代PLD开发系统,为我们数字电路系统的设计提供了很大的方便。从设计到调时仿真都可以很快的完成,为我们的理论学习提供了时间的平台。

在实习的过程中,我们还感受到了自己劳动自己创造的的成果。所有的方面

我们都需要考虑,系统的每一个细节我们都需要去认真钻研,稍微有一点差错就得不到我们想要的结果,这些都培养了我们对待科学的各种精神。严谨、认真、创新,这些都是我们以后学习生活中需要的东西,我们一定要以这次实习为契机,深刻体会在这其中学习的东西,应用到我们的生活中去。 这次实习给我的感受很深,我希望以后还能有这样的实习机会,让我们自己设计自己创造,完成自己想要达到的效果,生成自己想要的产品。

6参考文献

1.《电子技术基础——数字部分》(第五版)康华光 高等教育出版社2005

年;

2.《数字系统设计》邹彦 航空工业出版社,2007年;

3.《电子技术实验教程》周维芳 《西南交通大学出版社》2009年。

本文来源:https://www.bwwdw.com/article/b6li.html

Top